Saturday, March 5, 2022

The Emergence of Hydrazine (N2H4) in Semiconductor Applications

 

The Emergence of Hydrazine (N2H4) in Semiconductor Applications
by Jeffrey Spiegelman and Daniel Alvarez

 Purpose

Historically, metal-nitride MOCVD and ALD films have been fabricated with Ammonia (NH3).  However, lower thermal budgets and shrinking 3-dimensional structures are needed for next generation semiconductor devices.  These challenges have exposed limitations with ammonia which could be overcome by replacing ammonia with hydrazine (N2H4).  Purity of commercially available hydrazine has  delayed its adoption.  RASIRC Inc. has recently developed a new formulation of hydrazine called BRUTE® Hydrazine which is safer and meets purity requirements for semiconductor manufacturing. Prior to Brute Hydrazine, the body of technical data applicable to semiconductor processing was limited and scattered.  This paper provides an overview of the growing activity in the thin film use of Brute hydrazine as well as early references on laboratory grade hydrazine for historical completeness.


Increasing Need for More Reactive Nitrogen Sources

Emerging devices such Logic and Advanced Memory require high quality thin (5-20 Å) electrode and barrier films.  Difficult thermal budget constraints are now being placed on well-known materials such as SiNx, TiNx and TaNx.1-3  Deposition temperature limitations have dropped to 350°C and below while very low resistivity (<150 micro-ohm/cm) for TiN and TaN must still be achieved.   Although metal and nitride films grown using plasma assisted processes (PE-ALD) and (PE-CVD) at low temperatures exhibit enhanced properties, the damage induced by plasma on sensitive substrates is one of the common drawbacks,4,5 as well as inability to support HAR or three-dimensional structures like horizontal vias and deep trenches.

III-V Nitride devices require a more reactive nitrogen source to reduce deposition temperatures and increase compositional stability.6 Growth rates for InGaN films deposited with ammonia at reduced temperatures are prohibitively slow and grossly inefficient in ammonia usage. A more reactive nitrogen source can enable acceptable deposition rates at 500-650° C, where alloy stability is significantly increased and nitride source to precursor ratio can be reduced.

In addition to growing thin nitride films, hydrazine can also act as a reducing agent for several late transition-metals.  This work is highly relevant to the use of hydrazine as a surface cleaning agent as well as a potential adder for metal ALD.7



Figure 1: Low Temperature Thermal ALD growth rate with Hydrazine comparable to PEALD with Ammonia.

The following table provides primary references for the areas of hydrazine ALD/CVD relevant to Semiconductor device applications.  Additional relevant references on related films are also included.

 

Precursor and Temperature

Film

Reference

Al surface nitridation

200C-450C

AlN

Taylor et.al.  U.S. Patent 6465350, 2002

TMA MOCVD  300C-400C

AlN

Fujieda, S. et. al.   Adv. Func. Mat.  1996, 6(3), 127-134

TDEAA  150C-225C

AlN

Abdulagatov, A.I.  et. al. Russian Microelectronics, 2018, 47(2), 118–130.

 

TMA 175C-350C

AlN

Jung, Y.C.  et. al. Materials 2020, 13, 3387;

 https://doi:10.3390/ma13153387

 

TDMAA 225C-400C

AlN

Ueda, S.T. et. al.  Appl. Surf. Sci.  2021, 554, 149656

BCl3 ,  350C

BN

Wolf, S. et. al. Appl. Surf.  Sci.   2018, 439, 689–696

Surface Clean

200C

Cu

Hwang, S.M.  et. al. ECS Trans. 2019, 92, 265

 

Surface Clean 100C-300C

Cu, Co

Hwang, S.M. “Effect of Surface Cleaning Efficacy on Vapor-Phase Cleaning of Cu and Co Using Anhydrous N2H4AVS ALD/ALE 2021 Session: Area Selective ALD AS4-1

TMG, 400C-800C

GaN

Fujieda, S.  et. al. Jpn. J. Appl. Phys.  1987, 26, 2067-2071

 

TMG, TMI, 600C-900C Theoretical

GaN, InGaN

Koukitu, A. et. al. phys. Stat. sol. (b), 1999, 216(1), 707-712

 

TMG

Theoretical

GaN

Goddard, W. et.al. J. Phys. Chem. C 2015, 119(8) 4095–4103

 

[Ru(DMBD)(CO)3]

200C. Metal Deposition

Ru

Cwik, S. et. al.  J. Vac. Soc.  Sci. & Tech. A 2020, 38, 012402; https://doi.org/10.1116/1.5125109

SiH4   550C-1050C

SiN

Yoshioka, S. et. al.   J. Electrochem. Soc. 1967, 114, 962–964.

SiH4/W hot wire  300C

SiN

Matsumura, H. 1989 Jpn. J. Appl. Phys. 28 2157

Si2H6, Si3H8 

350C-550C

SiN

Kanoh, H.  et al.  “Low-Temperature Chemical-Vapor-Deposition of Silicon Nitride” Journal de Physique IV Proceedings, 1991, 02 (C2), pp.C2-831-C2-837.

Si surface Nitridation.

300C-500C

SiN

Abyss, J.A. et. al.  J. AIChE  1995, 41, 2282–2291

Si2Cl6  285C

SiN

Edmonds, M. et. al.,   J. Chem. Phys. 2017, 146, 052820 ;  https://doi.org/10.1063/1.4975081

Si2Cl6  320C-410C

 

SiN

Kondusamy, A.  et. al.Low Temperature Thermal ALD of Silicon Nitride Utilizing a Novel High Purity Hydrazine Source”, Electrochem. Soc. AiMES 2018, Meet. Abstr.  G02-993

Si2Cl6  410C-650C

SiN

Le, D.N. et al “Thermal Atomic Layer Deposition of Silicon Nitride Using Anhydrous Hydrazine and Ammonia” AVS ALD 2021, Session AF9.

TBTDET 150C-250C

TaN

Burton, B.B., et. al. J. Electrochem. Soc. 2008, 155, D508

TBTDET 100C-300C

TaN

Wolf, S. et.al.  Appl. Surf. Science, 2018, 462, 1029-1035

TDMAT  200C

TiN

Wierda, D.A. et. al. Electrochemical and Solid-State Letters, 1999, 2 (12) 613-615

TiCl4  200C-350C

TiN

Abdulagatov, A.I.  Ph.D. Thesis, Univ. of Colorado, 2012, UMI No. 3549153

TiCl4  300C-400C

TiN

Wolf, S. et.al.  Appl. Surf. Science, 2018, 462, 1029-1035

TiCl4  300C-400C

TiN

 Kuo, C.H. et. al.Low Resistivity Titanium Nitride Thin Film Fabricated by Atomic Layer Deposition on Silicon”

  AVS ALD 2021, Session AM5-9.

TiCl4  250C-400C

TiN

Alvarez, D. et. al.  “Comparative Study of Titanium Nitride ALD using High Purity Hydrazine vs Ammonia” Electrochem. Soc. 2020 Meet. Abstr. MA2020-02 1668

BTBMW

300C

WN

Bernal-Ramos, K.   Ph.D. Thesis, Univ. of Texas, Dallas, 2014,

UMI  No. 3668896

BTBMW

250C-350C

WN

Le, D.N.  et.al. “Atomic Layer Deposition of Nanometer Thick Tungsten Nitride Using Anhydrous Hydrazine for Potential X-Ray Optics Application” AVS ALD/ALE 2021 Session: AF10-15

Discussion on Specific Films

Titanium Nitride (TiN) is a critical film in semiconductor manufacturing. Commonly TiN is utilized as an electrode material as well as a low resistivity barrier layer.  Early CVD work by Wierda demonstrated low temperature (50C-250C) TiN CVD by hydrazine and TDMAT.  Optimal results were obtained when 1.9% hydrazine was combined with ammonia.  This may be attributed to a different mechanistic pathway or ammonia dilution of oxygen containing contaminants.  Wolf later demonstrated low temperature (300C) TiN ALD with the use of TiCl4. This result was then optimized by Kuo in the same lab, where resistivities well below 180 micro-ohm/cm were achieved by reducing oxygen contamination in the film through improved hydrazine purity. A comparative study of Hydrazine vs Ammonia for TiCl4 was reported by Taiyo Nippon Sanso, where the two nitrogen sources showed highly disparate growth rates and film properties.  Hydrazine demonstrated viability at the 250C-400C range for low temperature semiconductor applications.

Silicon Nitride (SiN) is a widely used material in semiconductor devices. SiN is commonly used as an etch stop, a dielectric layer, an encapsulation layer, and as a barrier layer on organic devices.  As early as 1967, hydrazine and Silane CVD was demonstrated at 550C.  This work was then followed-up by Kanoh with higher silanes in the 350C-550C range.  In a very interesting approach, Abyss demonstrated Si surface nitridation with hydrazine at temperatures as low as 300C.  More recently, Edmonds cleverly used hydrazine/hexachlorodisilane ALD to place a thin SiN passivation layer on SiGe at 285C.  Extensive studies have been carried out by the Kim group at UT Dallas in the range of 320C-650C.  Below 400C, thermal ALD leads to films with good composition, but unfavorable low density and high wet etch rates.  This can be overcome with addition of Argon plasma densification.  At 480C and above, thermal ALD films are grown with high density, low wet etch rates, and reduced hydrogen incorporation.  When compared to ammonia grown films in the same temperature range, the hydrazine ALD films are superior up to temperatures >600C where films properties become more similar.

Gallium Nitride and Indium Gallium Nitride (GaN, InGaN) grown with hydrazine have had few publications in the last 20 years despite interest in reduction of ammonia usage and poor indium incorporation. These films are central in LEDs and emerging power devices.  Fujieda demonstrated that overall chemical consumption can be greatly reduced with hydrazine vs ammonia for GaN deposition in the 400C-800C range.  Koukitu followed this up with a theoretical thermodynamic study showing how the use of hydrazine can reduce deposition temperature and stabilize composition for GaN and InGaN films.  In 2015, Goddard elucidated the likely mechanisms for hydrazine vs ammonia is GaN deposition.

Though little has been published for GaN/InGaN deposition with hydrazine, viability for III/V materials can be inferred from work published for AlN ALD with hydrazine.  Fujieda reported MOCVD with trimethyl aluminum (TMA) in the 300C-400C range.  More recently Jung reported ALD with TMA as low as 175C and compared to ammonia in the 175C-350C range.  Abdulagatov made use of the nitride-based ligands with TDEAA/hydrazine ALD in the 150C-250C range.  In a similar approach using TDMAA, Ueda has reported the deposition of crystalline AlN films as low as 350C with thermal ALD.  With the addition of Argon plasma densification, crystalline films can be obtained as low as 225C, where crystallinity in AlN was optimized at 400C.

Copper, Cobalt and Ruthenium can be reduced in situ by Hydrazine.  Furst provided a detailed review on hydrazine as a reducing agent for organic compounds.8 Recently Hwang reported an extension of this reactivity to Cu surfaces.  Gas phase reduction of Cu oxides to Cu metal with hydrazine at moderate temperatures (100C-300C) was reported.  Here, hydrazine is introduced in short pulses, analogous to an ALD reaction. A similar report for Cobalt has also been presented by Hwang.  Cwik working in the Winter group has recently released data showing the ability to grow Ru metal using hydrazine as a reducing agent in Ru ALD at 200C.  Here hydrazine was found to be advantageous over substituted hydrazine derivatives.

Conclusion

Hydrazine is emerging as a replacement for ammonia in low temperature applications.   Recent examples of different production-worthy nitrides have been reported for both ALD and MOCVD films.  These positive reports have led to an increasing level of interest within the scientific community looking for solutions to new device structures and increased density.

Contact the Author

The author is available for additional technical discussion. Contact RASIRC to schedule an appointment.

References

1. Burton BB, Lavoie AR, George SM (2008) Tantalum nitride atomic layer deposition using (tert-Butylimido) tris(diethylamido)tantalum and Hydrazine. J Electrochem Soc 155, D508

2. Alvarez, D.; Spiegelman, J.; Andachi, K.; Holmes, R.; Raynor, M.; and Shimizu, H. Enabling Low Temperature Metal Nitride ALD Using Ultra-High Purity Hydrazine: ET/ID: Enabling Technologies and Innovative Devices. 2017 28th Annu. SEMI Adv. Semicond. Manuf. Conf., Saratoga Spring, NY, USA, 2017, 426–430.

3. Hwang, S.M.; Kim, H.S.; Le, D.N.; Ravichandran, A.V.; Sahota, A.; Lee. J.; Jung, Y.C.; Kim, S.J.; Ahn, J.; Hwang, B.K.; Lee, L.; Zhou, X.; and Kim, J. Plasma-Enhanced Atomic Layer Deposition of Nanometer-Thick SiNx Films Using Trichlorodisilane for Etch-Resistant Coating. ACS Appl. Nano Mater. 2021, 4, 2558–2564. https://doi.org/10.1021/acsanm.0c03203.

4. Kim, H.; Oh, I.-K.; Review of Plasma-Enhanced Atomic Layer Deposition: Technical Enabler of Nanoscale Device Fabrication. Jpn. J. Appl. Phys. 2014, 53, 03DA01. https://doi.org/10.7567/JJAP.53.03DA01.

5. Mussroot, J. et.al. Microelectronic Engineering 86 (2009) 72-77. http://dx.doi.org/10.1016/j.mee.2008.09.036

6. Ravinder Kour et al 2020 ECS J. Solid State Sci. Technol. 9, 015011

7. Hwang, S. M.; Peña, L. F.; Tan, K.; Kim, H. S.; Kondusamy, A. L. N.; Qin, Z.; Jung, Y. C.; Veyan, J.-F.; Alvarez, D.; Spiegelman, J.; et al. Vapor-Phase Surface Cleaning of Electroplated Cu Films Using Anhydrous N2H4. ECS Trans. 2019, 92, 265–271.

8. Furst, A. et. al. Chem. Rev. 1965, 65, 51–68.

Electronic Gas Markets – Strong Growth, Pressing Supply-Chain Issues

San Diego, CA, March 3, 2022: TECHCET—the electronic materials advisory firm — announced a positive growth outlook for Electronic Gases through 2026. The 2022 Electronic Gas market is expected to top US$7 billion, driven mainly by logic chip fab expansions. Despite an expected 8% CAGR, there are pressing supply-chain issues, both nearer term and possibly longer term, exist in this industry segment. The Russia-Ukraine war is sparking growing concerns relating to the availability of gases such as neon, helium and other gases critical to semiconductor production around the globe.


The turmoil in the region, and related economic sanctions against Russia, will likely affect several key gases used by the semiconductor industry. Neon and helium are two examples. TECHCET’s latest analysis shows that majority of all high purity neon imports into the US is coming from the Ukraine. This represents <50% of total US demand.

TECHCET’s contacts in Ukraine indicate that rare gas purifiers in Ukraine have been temporarily shut down due to the conflict. So far there has only been an interruption of 5-6 days. However, if the fighting lingers on this could have a major impact on the chip industry that has been pushing hard to increase production.

Many of the supply-chains that support the industry are running thin on capacity. Investments in more material production has been lacking and demand for materials has been growing so fast that material pinch points exist in nearly every material segment, including gas processing equipment and subsystems. On-going issues on lead-times for all types of components related to gas processing (tool gas box upgrades, precursor deliver systems, bulk refill) are expected to impact lead-times for gas and precursor supply systems

“Even those material supply-chains that were looking fairly balanced in 2020 are increasingly getting pinched and any perturbation in the supply-chain can cause shortage issues,” said Lita Shon-Roy, TECHCET’s President & CEO. Helium is a prime example where hiccups in the supply-chain are causing increased lead-times and availability problem as the chip industry pushes up their demand. The US BLM, the US’s key source of helium, has been experiencing maintenance issues, delaying product availability, and Russia’s Gazprom purified helium production has been delayed (6 months or more) due to fire incidents over the past several months. “Add war into the mix and supply can be even further strained,” offered Shon-Roy.

As new semiconductor device fabs come online in the next few years, supply constraints may appear for other gases (B2H6, WF6, NF3, CF-gases) as demand increases are expected to outpace supply.

For more details on the Electronics Gas market segments and growth trajectory go to:

Don’t forget to register for the 2022 Critical Materials Conference (CMC) on April 28-29 in Chandler, AZ.

Wednesday, March 2, 2022

Japanese Samco Opens Research Center for Nano Thin Films & Materials to accelerate ALD Development

Japanaese Samco plans to increase its number of researchers at the Research Center from the current 8 to 20 in the next 3 years and to expand its annual ALD systems sales to 3 billion yen in five years.

Saturday, February 26, 2022

Original manuscript of the UN Charter (1945) - "Stop the military operation in Ukraine. Bring the troops back to Russia."

"The Charter is clear," said UN Secretary-General António Guterres on Thursday. "The use of force by one country against another is wrong, against the UN Charter, and unacceptable. But it is not irreversible."


The Secretary-General repeated his appeal to President Putin:
"Stop the military operation in Ukraine. Bring the troops back to Russia."







ALD centre of excellence to drive leading edge process technology - ASM International and University of Helsinki have renewed and expanded their long-term research agreement

The new five-year agreement entails a significant expansion of the collaboration that already began in 2004 and now gets extended to the impressive length of 23 years. ASM will more than double the current funding provided to the University as part of this agreement. The accelerator laboratory of Department of Physics receives part of the funding. ASM also plans to expand the team at its subsidiary ASM Microchemistry Oy at the Kumpula campus.

ASM Microchemistry has been pioneering ALD (Atomic Layer Deposition) technology since its founding in 1987 and has been co-located since 2004 in the Chemicum building at the Kumpula campus. University of Helsinki, working on ALD since 1990, hosts HelsinkiALD that is one of the world's best known academic research groups in the field of ALD chemistry. The ALD CoE will focus on ALD and other atomic layer processes and thin film materials that are necessary for future microelectronics. ALD is an advanced technology for depositing thin film materials in highly controlled manner for integrated circuits and other applications. Semiconductors in all today’s mobile phones and computers contain materials made by ALD. One recognition of the importance of the ALD technology was the Millennium Technology Prize that was awarded in 2018 to Dr. Tuomo Suntola, the Finnish inventor of ALD.


In front ASM International CEO Benjamin Loh and vice rector Paula Eerola. Behind from left emeritus prof. Markku Leskelä, CTO and Corporate VP R&D Ivo Raaijmakers, VP of Technology & Corporate R&D Vamsi Paruchuri, prof. Mikko Ritala, Senior Director Corporate R&D Operations Kees van der Jeugd, dean Kai Nordlund and assistant prof. Matti Putkonen. (Image: Jani Närhi)

Benjamin Loh, CEO of ASM International, commented:

– The ALD Center of Excellence agreement significantly expands our nearly two-decade long and successful collaboration with University of Helsinki. We are very excited about this partnership aimed for breakthroughs around ALD that will enable future semiconductor technologies.

– Collaboration with ASM, which is exceptional in terms of both length and volume, is highly valuable for the University of Helsinki, stated vice-rector Paula Eerola.

Professor Mikko Ritala and associate professor Matti Putkonen who head the HelsinkiALD research group at the University added:

– We greatly appreciate ASM for the long-term commitment and collaboration. Our research area is clearly exceptionally fruitful and rewarding for academia-industry collaboration. The research questions we are addressing are fundamental by their nature, yet our results may find immediate use in the future semiconductor devices. Therefore division between basic and applied research is meaningless.

A critical element behind the successful collaboration is the mutual understanding and respect of each party’s interests, in particular intellectual property protection for ASM and open science for the university.

– When new innovations are made, these are first patented, after which we are free to publish all the results without any undue delay, said Ritala.

In 2020 ALD center Finland research infrastructure, formed by HelsinkiALD in chemistry department and accelerator and x-ray physics laboratories in physics department, was selected by Academy of Finland to the national research infrastructure roadmap. ALD center Finland serves as a national platform for research and education in atomic layer level processing techniques. The center also supports other fields of research requiring state-of-the-art techniques for thin film deposition, characterisation and surface chemistry, catalysis in particular.

ALD research has also a strong educational dimension.

– It is a pleasure seeing how wanted chemists graduating from us are in the industry and elsewhere. To make the training even more attractive, a unique Master´s programme in ALD has been launched. The students will be majoring from Inorganic materials chemistry study track of Master’s Programme in Materials Research, and their courses are directed so that they cover all important aspects of ALD, including precursors, thin film deposition and characterization as well as potential applications, explains Matti Putkonen, who was appointed in 2019 as an associate professor in atomic layer deposition and etching, seemingly the first one of its kind in the world.

– Our education and hands on training create an exceptionally good starting point for our graduates to become part of the rapidly increasing global ALD community.

Thursday, February 17, 2022

RASIRC Provide Record Low Resistivity Titanium Nitride Film Fabricated by Thermal ALD

Ultra-dry hydrazine enables growth at low temperature

San Diego, Calif – January 18, 2022 – An IITC paper authored by researchers from UCSD, Samsung, and RASIRC demonstrated record low resistivities for low temperature titanium nitride (TiN) films grown by thermal ALD process. Films yielded resistivities below 200 µohm-cm. The process used titanium tetrachloride (TiCl4) and ultra-dry anhydrous hydrazine along with surface treatments to further reduce surface impurities. The reduction of moisture was key. This reduction was achieved by a high vacuum ALD process combined with anhydrous hydrazine with moisture below 100ppb.

Low resistivity is an indicator of a high-quality film that works well as a barrier layer or conductor. TiN thin films are used as diffusion barriers for cobalt (Co) and tungsten (W) metal layers as well as gate metal in CMOS devices due to their high electrical conductivity and related low resistivity.

Historically, TiN films have been fabricated with ammonia and plasma. However, new three-dimensional designs with high aspect ratios (HAR) impose severe process limitations on plasma efficacy due to line-of-sight issues. Thermal ALD processes have a non-directional advantage over plasma if the process chemistry is reactive enough.

By replacing ammonia with hydrazine, reactivity is increased in the ALD process. This enables processing at lower temperatures needed for backend processes. Low temperature ALD is needed to avoid degradation of previously deposited structures. These breakthroughs make it possible for new 3D architectures like nanoribbons and nanotubes to move into high volume manufacturing.

“Once a low water background can be achieved in the tool, it is critical that the hydrazine precursor be as dry as possible to prevent oxygen incorporation in the film,” said RASIRC Founder and CEO Jeffrey Spiegelman. “Through proper tool design and ultra-dry BRUTE® Hydrazine, high quality titanium nitride films can be grown, as shown in the research.”

Details on the research were published in IEEE Xplore following the 2021 IEEE International Interconnect Technology Conference (IITC). For more information, contact RASIRC.


BRUTE Hydrazine

BRUTE Hydrazine enables uniform nitride deposition for titanium and early transition metals at low temperature. BRUTE Hydrazine may also be used as an atomic hydrogen source, where metals such as Ru, Cu, and Co may be cleaned and reduced. Brute Hydrazine has been formulated with a higher flash point for safer handling.

About RASIRC

RASIRC transforms liquids into dynamic gases that power process innovation in semiconductor and adjacent markets. By commercializing molecules for lower temperature processes, RASIRC patented technology enables the manufacture of atomic-scale oxides, nitrides, and metals. Innovative products such as BRUTE Peroxide, Brute Hydrazine, the Peroxidizer®, and Rainmaker® Humidification Systems are being used to develop solutions for 5G, AI, IOT, and advanced automation.



What makes RASIRC a unique industry leader is our technical expertise and commitment to solving complex industry challenges for our customers. Our team of industry experts has a proven track record of being first to market by efficiently delivering state of the art technology that reduces cost, improves quality, and dramatically improves safety. With our customers at the forefront of all we do, we continue to research, develop, and design innovative products that purify and deliver ultra-pure gas from liquids for the semiconductor and related markets. Contact RASIRC to help solve your complex problems.



P: 858-259-1220, email info@rasirc.om or visit http://www.rasirc.com



Thursday, February 10, 2022

ALD for Industry 2022, 29-30 March 2022 in Dresden

The 5th „ALD FOR INDUSTRY“ workshop will again show the bridge between basic science, industrialisation and commercialisation of this technology. According to a research study, „The global Atomic Layer Deposition Equipment (ALD) market is expected to reach USD 8.2 billion by 2026, growing at a CAGR of 28% from 2020 to 2026 layer, excellent adhesion of high aspect ratio surfaces and pinhole-free coatings.“


Interest in industrial solutions for Atomic Layer Deposition is increasing. This opens up new possibilities for innovative materials and designs in a wide range of applications. ALD is one of the best deposition methods for producing conformal and thin films. Furthermore, it is a key technology for the semiconductor industry, but not only for the semiconductor industry. Atomic layer deposition, is a thin film technology that enables new and highly innovative products for a wide range of applications.

In 2022 the EFDS will organize the 5th workshop „ALD FOR INDUSTRY“ in Dresden (Germany), at one of the focal points of European thin-film technologies in industrial applications and research. „ALD for industry provides the opportunity to get in touch with industrial and academic partners, to learn more about fundamentals of ALD technology and get informed about the latest research results on this topic.

The Workshop will focus on the currents markets for ALD and address the applications in Semiconductor industry, MEMS &Sensors, Battery technology, Medical, Display, Lightning and Photovoltaics.

EXHIBITION

You have the right products and services for our community? Come to the event and present your expertise.
At the exhibition you will have a table and space for one roll-up. During all breaks you will have the opportunity to get in discussion with the participants and speakers.

If you are interested, please contact info@efds.org with the comment „ALD for Industry“.

PROGRAM


Thank you to all speakers and the Program Committee. The Program for our Workshop 2022 is prepared. For more details please open the link above.

Program Committee 2022
Quentin Demarly, Air Liquide Advanced Materials, Paris, France
Dr. Christoph Hossbach, Picosun Oy and Picosun Europe GmbH, Dresden, Germany
Dr. Martin Knaut, IHM, TU Dresden, Germany
Prof. Mikko Ritala, University of Helsinki, Helsinki, Finland
Dr. Jonas Sundqvist, BALD Engineering AB, Wärmdö, Sweden
Dr. Claudia Wiemer, CNR IMM, Unit of Agrate Brianza, Italy

HOTEL RECOMMENDATION

114 EUR single room, including breakfast
Canceling free of charge until 7 days before the event
Code: ALD

Tuesday, February 8, 2022

Samsung Electronics Is Pushing Hard to Bring Monolithic 3D DRAM to HVM by 2025

Samsung Electronics has been enjoying its DRAM market leader position for about 30 years now. To retain the position further, it has intensified its R&D of monolithic 3D DRAMs to bring them to HVM by 2025.




DRAM’s performance boost based on scaling the cell size or pitch is approaching a physical limit for cramming more cells in a limited space. Additionally, the ultra-high aspect ratio capacitors may collapse leading to compromised device reliability. Therefore, switching from current 2D DRAMs to next-generation monolithic 3D DRAMs seems inevitable.

Samsung has reportedly intensified its R&D on stacking DRAM cells on top of each other in a monolithic fashion, unlike in the case of high-bandwidth memory (HBM), wherein multiple dies are stacked atop each other.

Besides High-k/Metal Gate transistor technology, Samsung is also considering adopting FinFET or gate-all-around (GAA) technology for the DRAM cell transistor to attain better electrostatic control of the charge flow within the channel with the gate electrode.

Micron Technology and SK Hynix are also reportedly developing monolithic 3D DRAMs. Micron recently filed a patent for a monolithic 3D DRAM that is different from that of Samsung. Micron’s approach is to change the shapes of the transistor and capacitor without laying down a cell. Major equipment manufacturers such as Applied Materials and Lam Research are also developing solutions for the monolithic 3D DRAMs.

By Abhishek Kumar Thakur & Jonas Sundqvist

Monday, February 7, 2022

Picosun and Shincron join forces for ALD optical coating development

ESPOO, Finland, 3rd of February 2022 – Picosun Group and Shincron Co., Ltd. have joined forces for development and commercialization of Atomic Layer Deposition (ALD) for optical coatings. ALD technology provides uniform and conformal coatings to the even most challenging structures and is therefore well suited for high-end optical applications.

The R&D teams from both companies have worked together to tailor existing ALD processes optimizing them for the use in optical applications. In addition to standard ALD optical films, ALD magnesium fluoride (MgF2) has been researched and is now available for commercial use for the first time. So far, ALD MgF2 processes have been only presented in academic research by universities and science institutes. MgF2 is a desired material in optical applications due to its low refractive index at visible light and high transmittance in FUV optical region. It can be used as a single layer or as part of an optical stack combining low and high refractive index materials. It has also other features, such as barrier properties and high chemical stability, which bring big advantages in some applications.



The collaboration agreement was first signed in 2019 including the appointment of Shincron as Picosun’s sales representative and ALD product distributor in Japan. The collaboration was extended with Joint Development Agreement (JDA) in 2020 with the aim to develop novel and advanced ALD coatings for optical applications.

“We are very excited about the collaboration. The teamwork has been fruitful, and the results obtained now are excellent. We see optical coatings as one of the emerging ALD applications and believe in strong growth in this market”, says Jussi Rautee, CEO of Picosun.

“We are happy to add ALD technology to our offering. This way we can increase our services for our customers. We have set up a demo capability for ALD optical coatings and Japanese optical companies have shown high interest for this process”, says Masaya Narita, CEO of Shincron.

Abstract submission - Atomic Layer Processing Modelling Workshop 15-16 March Sweden

The abstract submission and registration for our Atomic Layer Processing Modelling Workshop 15-16 March is now open at the conference website 2022 Atomic Layer Processing Modelling Workshop - Linköping University (liu.se) 

Join us in Linköping if the pandemic allows and/or online to discuss how to model atomic layer processes. No registration fee!


AtomicLimits: Atomic Layer Etch Carves the Path to More Efficient Computing

Here is a good blog post by Intermolecular at atomic Limits on advances in ALE and future prospects
  • High-volume manufacturing (HVM) of atomic-scale semiconductor devices requires new approaches to deposit and etch materials in complex nano-architectures. Next-generation logic devices, including gate all-around (GAA) transistors and the conductors that link them together, must be engineered with atomic precision.
  • The selective removal of materials also enables efficient integration schemes which mitigate costly lithography issues and could lead to new ways to make memory devices. Thermal atomic layer etching (ALE) of metals, dielectrics, and semiconductors provides atomically precise isotropic etching in 3D device structures. 
  • ALE processes must be selective to the materials to be etched, have robust process parameter windows, and leave minimal residues or surface damage. The complexity of experimental design requires close collaboration between chemists, process & integration engineers, and device designers, as well as new methods for combinatorial etch testing and smart data handling.
  • Meeting these requirements will ensure high device yields, a necessary outcome for success in the extremely competitive semiconductor market.


Examples of ALE of a trench structure, with poor kinetic control of the ALE process leading to inconsistent etch (top), or good control of the ALE process leading to a surface-limited reaction and consistent etching at high aspect ratio (bottom). (Source: Intermolecular, AtomicLimits.com)

Thursday, January 27, 2022

ALD InGaN with single precursor pulse of In & Ga

Polla Rouf of Pedersen Group in Linköping Sweden, just published an ALD approach to metastable In1−xGaxN with 0.1 < x < 0.5 based on solid In- and Ga-precursors that were co-sublimed into the deposition chamber in one pulse. A near In0.5Ga0.5N film with a bandgap value of 1.94 eV was achieved on a Si(100) substrate. Epitaxial In1−xGaxN(0002) was successfully grown directly on the 4H–SiC(0001) substrate.

I checked in with Prof. Pedersen on Twitter and this is gonna be one of the abstract submitted to AVS ALD 2022 in Ghent this summer.

  • The sequential pulsing of the precursors in ALD presents a challenge to depositing a homogeneous ternary material as only one precursor can be pulsed into the reactor at a time.
  • Ternary materials are therefore deposited by ALD as stacks of two binary materials. In1−xGaxN could therefore be deposited as layers of InN and GaN in an ABAB⋯CBCB… super-cycle approach where A and C are In- and Ga-precursors, respectively, and B is the N-reactant. By varying the number of cycles for each binary material, the overall composition of the ternary material can be tuned. 
  • This approach relies on diffusion of the two binary materials to form a homogeneous ternary phase. Otherwise, a multilayer of InN/GaN is obtained. This ALD approach has been used to obtain In1−xGaxN with x ranging from 0.15–0.85 using trimethylindium and trimethylgallium.
  • Here, an alternative method to depositing ternary materials by introducing both metal precursors with a single pulse. This renders mixing of the metals in both the growth direction and in the growth plane. This was achieved by mixing and co-subliming two solid metal precursors into the ALD chamber.







Wednesday, January 26, 2022

AVS Seminar Atomic Layer Deposition from an Applications Perspective by Prof. Kessels

 Coming up soon - AVS Seminar Atomic Layer Deposition from an Applications Perspective by Prof. Kessels, TU Eindhoven.

Read about the background at AtomicLimits LINK.

Atomic Layer Deposition from an Applications Perspective

February 9, 2022
1:00 PM - 5:00 PM
Instructor(s): Erwin Kessels
REGISTER HERE!

Questions? Contact Heather Korff, heather@avs.org, 530-896-0477


Cover image - ALD Applications from AtomicLimits.com. Schematic overview of the (emerging) industrial applications of atomic layer deposition (ALD). Roughly speaking three main areas can be distinguished: those related to (opto)electronic integrated circuits (blue); those related to large area electronics and energy technologies (red) and other applications (green) that are often in niche markets. The layout of the figure is inspired by a figure posted on the Strem Chemicals website (by BALD Engineering AB). A high-resolution version of this figure can be found in the AtomicLimits Image Library.


Monday, January 24, 2022

BENEQ gives insights to the growing USD 345 Million More than Moore market for ALD Equipment

Here is an insightful interview with Patrick Rabinzohn, Ph.D., VP of the Semiconductor ALD Business Unit at Beneq regarding ALD in the More than Moore market (MtM) LINK. Yole Development recently release a report on the topic as summarized below.

Atomic Layer Deposition (ALD) is known in the electronics industry as an enabler for the scaling of logic and memory semiconductor devices. Ultimately, this scaling leads to performance improvement of devices such as dynamic random-access memory (DRAM), advanced fin field effect transistor (FinFET) and Gate All Around transistors. ALD is now percolating into More-than-Moore (MtM) device production, again enabling novel architectures, materials, and performance improvements. Examples include GaN and SiC transistors, in particular GaN High Electron Mobility Transistors (HEMTs) and SiC trench metal oxide semiconductor FETs (MOSFETs), piezoelectric microelectromechanical systems (MEMS) and radiofrequency (RF) devices or compound semiconductor mini-light emitting diodes (LEDs) and micro-LEDs.

Besides the technical benefits that ALD offers, surging ALD equipment sales are propelled by fab capacity expansions worldwide. Forecasts expect the ALD equipment market for MtM devices to grow in the coming years with a 12% Compound Annual Growth Rate from 2020-2026 (CAGR2020-2026) reaching $680.5M in 2026.
You can find detailed know-how, market, and ecosystem overview of ALD equipment dedicated to MtM device production in the technology and market report Atomic Layer Deposition Equipment for More than Moore 2021, published by Yole Développement (Yole). Among the featured equipment manufacturers, Beneq is gaining momentum in the MtM space with a rapidly growing equipment lineup.

Picosun part of extensive quantum technology 10 Million Euro QuTI project is coordinated by VTT Technical Research Centre of Finland

ESPOO, Finland, 18th of January 2022 – Picosun takes part as an industrial partner in QuTI, a recently launched extensive research project aiming to develop new components, manufacturing and testing solutions that are needed in quantum technology. Quantum technology has gained interest in a vast array of industries on a large scale. The remarkable performance improvements it offers enable for example powerful computing and benefits in communications, healthcare, sensors, imaging and measurement applications.



The QuTI project is coordinated by VTT Technical Research Centre of Finland, and it has a total budget of around 10 million euros. Other industrial partners of the consortium include Bluefors, Afore, IQM, Rockley Photonics, CSC, Quantastica, Saab and Vexlum. The research partners are VTT, Aalto University and the University of Tampere.

“Quantum technology is a multidisciplinary and rapidly advancing field. The QuTI consortium provides an ideal starting point for strengthening the international competitiveness of Finnish technology and industry in this fast-growing field,” says QuTI project’s coordinator, Research Professor Mika Prunnila from VTT.

“Quantum technology has already taken the step from research laboratories to commercial applications. We look forward in supporting this development trend even further and being part of creating a globally competitive industrial ecosystem in Finland around this technology,” says Dr. Jani Kivioja, CTO of Picosun Group.

“Atomic Layer Deposition, or ALD, is the advanced thin film coating method for ultra-thin, highly uniform and conformal material layers that enables the digital solutions of today. It will also play a crucial role in future innovations and in the quantum computing, communication and sensing devices that will be developed in the QuTI project”, continues Jussi Rautee, CEO of Picosun Group.




More information:
Jani Kivioja
CTO, Picosun Group
Tel: +358 46 922 8804
Email: info@picosun.com

Picosun provides the most advanced ALD (Atomic Layer Deposition) thin film coating solutions for global industries. Picosun’s ALD solutions enable technological leap into the future, with turn-key production processes and unmatched, pioneering expertise in the field – dating back to the invention of the technology itself. Today, PICOSUN® ALD equipment are in daily manufacturing use in numerous leading industries around the world. Picosun is based in Finland, with subsidiaries in Germany, USA, Singapore, Japan, South Korea, China mainland and Taiwan, offices in India and France, and a world-wide sales and support network. Visit www.picosun.com.


Friday, January 7, 2022

TSMC Self-Aligned Via Process Development for Beyond the 3nm Node

Semiwiki Tom Dillinger reports on an interesting paper by TSMC at the recent IEDM 2021 conference in San Francisco using selective ALD with the help of SAMs or Dielectric on Dielectric (DOD) as it is called.




From the article sumary: Continued interconnect scaling below the 3nm node will necessitate unique process development research to maintain electrical and reliability specs in the presence of (up to 4nm) overlay error. The need for low-K interlevel dielectrics is a given – yet, the via etch in these materials is not especially tolerant of EPE.

TSMC has demonstrated a potential process flow for a “self-aligned via” with an additional DoD material. The etch rate differential of the DoD results in more robust via-to-adjacent metal reliability. This process flow utilizes two unique steps – the SAM of a blocking material on metal surfaces, and the selective ALD of a dielectric-on-dielectric.