Thursday, May 13, 2021

Schweden wollen mit Ätz-Spalttechnik Chipproduktion in Sachsen umkrempeln

Alix Labs aus Lund testet Verfahren nun im Silicon Saxony, berichtet Heiko Weckbrodt bei Oiger.de


Lund/Bannewitz, 13. Mai 2021. Ingenieure aus Schweden und Sachsen wollen gemeinsam die Mikroelektronik-Produktion umkrempeln. Dafür hat das schwedische Technologie-Unternehmen „Alix Labs“ ein Verfahren entwickelt, das die Produktion neuester Computerchips mit Strukturgrößen unterhalb von zehn Nanometern (Millionstel Millimeter) stark vereinfachen und verbilligen soll. Dabei geht es auch darum, den Einsatz teurer Belichtungsanlagen mit „Extremer Ultraviolett-Strahlung“ (EUV) zu vermeiden, die etwa 120 Millionen Euro pro Maschine kosten und für die es weltweit nur eine Quelle gibt: ASML aus den Niederlanden. Um auf alternativen Wegen feinste Chipstrukturen zu erzeugen, setzen die Schweden auf eine „Pitch-Splitting-Methode“ (APS) mittels Atomlagen-Ätzen (Atomic Layer Etching, abgekürzt ALE). „Plasway Technologies“ aus Bannewitz in Dresden transferiert dieses Verfahren nun in einen industrienahen Maßstab auf 300 Millimeter großen Siliziumscheiben (Wafer).
Weiterlesen: LINK


„In Schweden haben wir keine 300-Millimeter-Infrastruktur“, erklärt „Alix Labs“-Chef Jonas Sundqvist die Kooperation mit den Sachsen. „Unsere Technologie kann in bestehende Prozessabläufe der Halbleiterherstellung integriert werden. Theoretisch könnten Chipfabriken wie die Globalfoundries-Fab 1 in Dresden unsere Methode einführen und dann 10- oder 7-Nanometer-Chips herstellen ohne teure EUV-Anlagen.“ Als Kunden sieht er aber auch Branchenriesen wie Intel, TSMC und Samsung.

Wednesday, May 12, 2021

A Molecular Drone for Atomic‐Scale Fabrication Working under Ambient Conditions

Pretty cool stuff going on, please check the interview of the scientist here (LINK). Thanks for sharing this one Henrik Pedersen.

A Molecular Drone for Atomic‐Scale Fabrication Working under Ambient Conditions

Matteo Baldoni Francesco Mercuri Massimiliano Cavallini
Advanced Materials Communications, First published: 12 April 2021 https://doi.org/10.1002/adma.202007150

The direct manipulation of individual atoms has led to the advancement of exciting cutting‐edge technologies in sub‐nanometric fabrication, information storage and to the exploration of quantum technologies. Atom manipulation is currently performed by scanning probe microscopy (SPM), which enables an extraordinary spatial control, but provides a low throughput, requiring complex critical experimental conditions and advanced instrumentation. Here, a new paradigm is demonstrated for surface atom manipulation that overcomes the limitations of SPM techniques by replacing the SPM probe with a coordination compound that exploits surface atom complexation as a tool for atomic‐scale fabrication. The coordination compound works as a “molecular drone”: it lands onto a substrate, bonds to a specific atom on the surface, picks it up, and then leaves the surface along with the extracted atom, thus creating an atomic vacancy in a specific position on the surface. Remarkably, the feasibility of the process is demonstrated under electrochemical control and the stability of the fabricated pattern at room temperature, under ambient conditions.

Phthalocyanine molecule can act as a ‘molecular drone’ from Chemistry World on Vimeo.

Saturday, May 8, 2021

Worldwide silicon wafer area shipments increased 4% to 3,337 million square inches in the first quarter of 2021

MILPITAS, Calif. — May 3, 2021 — Worldwide silicon wafer area shipments increased 4% to 3,337 million square inches in the first quarter of 2021 compared to the fourth quarter of 2020, topping the previous historical high set in the third quarter of 2018, according to the SEMI Silicon Manufacturers Group (SMG) in its quarterly analysis of the silicon wafer industry. First-quarter 2021 silicon wafer shipments saw 14% growth from the 2,920 million square inches logged during the same quarter last year.
From Semi data

“Logic and foundry continue to drive strong demand for silicon wafers,” said Neil Weaver, chairman SEMI SMG and Vice President, Product Development and Applications Engineering at Shin Etsu Handotai America. “The memory market recovery further bolstered shipment growth in the first quarter of 2021.”

Data cited in this release includes polished silicon wafers such as virgin test and epitaxial silicon wafers, as well as non-polished silicon wafers shipped to end users.

Silicon wafers are the fundamental building material for the majority of semiconductors, which, in turn, are vital components of all electronics goods, including computers, telecommunications products, and consumer electronics. The highly engineered thin disks are produced in diameters of up to 12 inches and serve as the substrate material on which most semiconductor devices, or chips, are fabricated.

The SMG is a sub-committee of the SEMI Electronic Materials Group (EMG) and is open to SEMI members involved in manufacturing polycrystalline silicon, monocrystalline silicon or silicon wafers (e.g., as cut, polished, epi). The purpose of the SMG is to facilitate collective efforts on issues related to the silicon industry including the development of market information and statistics on the silicon industry and the semiconductor market.

For more information, please visit SEMI Worldwide Silicon Wafer Shipment Statistics.

Webinar - Decadal Plan for Semiconductors: New Compute Trajectories for Energy Efficiency

SIA/SRC [LINK]: Computing and, more generally, Information and Communication Technologies (ICT) is the social-economic growth engine of the modern world. Rapid advances in computing have provided increased performance and enhanced features in each new generation of products in nearly every market segment, whether it be servers, PCs, communications, mobile, automotive, or entertainment, among others.

The use of information and communication technologies continues to grow without bounds dominated by the exponential creation of data that must be moved, stored, computed, communicated, secured and converted to end user information. Ever-rising energy demands for computing versus global energy production are creating new risk, therefore new computing paradigms need to be discovered that would result in dramatically improved energy efficiency of computing.

This webinar intends to identify a compelling research agenda based on the Decadal Plan for Semiconductors, led by SRC to discover new approaches to computing with a focus on changing the current mainstream compute trajectory. The underlying technical challenge is bit-utilization efficiency in computation.



Friday, May 7, 2021

BALD Engineering & Friends Virtual ALD Fest June 27-30 (tbd), 2021 with Free Beer

BALD Engineering Virtual ALD Fest June 27-30 (tbd), 2021 with Free Beer. A splendid event for everyone attending AVS ALD 2021 or ALE 2021 virtually. The Fest will be streaming live from Dresden Germany and a number of additional locations worldwide - To be announced

Agenda
1. Beer opening ceremony & Tutorial How to open a beer bottle the German way and how to pour a Hefe Weizen
2. ALD Market Briefing with beer
3. Beer drinking competition with several entries (to be announced)



Please vote on Twitter:


Applied Materials MEMORY MASTER CLASS 2021 - slide deck

I missed this opportunity, however, I am grateful for Lita Shon-Roy just sending me the link to the slide deck - Tack så mycket. 

Slide deck for the Memory Class LINK

Next class up is Logic June 16, 2021 followed by more interesting topics in 2nd half 2021:

  • Specialty semiconductors
  • Heterogeneous design and advanced packaging
  • Inspection and process control

Teaser slide (Credit Dr. Sony Varghese, Director of Strategic Marketing at at Applied Materials)

You are welcome to contact us at TECHCET (jsundqvist@techcet.com) to dig further into the future surge of materials to realize the data-driven economy:

  • ALD/CVD precursors
  • Metals/PVD Targets
  • Photoresist
  • Wet chemicals
  • CMP pads & slurries
  • Bulk, Rare and Speciality gases
  • Wafers

Applied Materials Introduces Materials Engineering Solutions for DRAM Scaling

  • New Draco™ hard mask material co-optimized with Sym3® Y etcher to accelerate DRAM capacitor scaling
  • DRAM makers adopting Black Diamond®, the low-k dielectric material pioneered by Applied Materials to overcome interconnect scaling challenges in logic
  • High-k metal gate transistors now being introduced in advanced DRAM designs to boost performance and reduce power while shrinking the periphery logic to improve area and cost
SANTA CLARA, Calif., May 05, 2021 (GLOBE NEWSWIRE) -- Applied Materials, Inc. today announced materials engineering solutions that give its memory customers three new ways to further scale DRAM and accelerate improvements in chip performance, power, area, cost and time to market (PPACt).
The Draco hard mask resolves this issue with a new material whose selectivity is more than 30 percent higher than conventional DRAM capacitor hard masks. It enables the deposition of a 30 percent thinner hard mask, thus decreasing the capacitor’s aspect ratio and easing the difficulty of the etch process.

The digital transformation of the global economy is generating record demand for DRAM. The Internet of Things is creating hundreds of billions of new computing devices at the edge which are driving an exponential increase in data transmitted to the cloud for processing. The industry urgently needs breakthroughs that can allow DRAM to scale to reduce area and cost while also operating at higher speeds and using less power.
Applied Materials is working with DRAM customers to commercialize three materials engineering solutions that create new ways to shrink as well as improve performance and power. The solutions target three areas of DRAM chips: storage capacitors, interconnect wiring and logic transistors. They are now ramping into high volume and are expected to significantly increase Applied’s DRAM revenue over the next several years.

Introducing Draco™ Hard Mask for Capacitor Scaling

Since over 55 percent of a DRAM chip’s die area is occupied by the memory arrays, increasing the density of these cells is the biggest lever for reducing cost per bit. Data is stored as charges in cylindrical, vertically arranged capacitors that need as much surface area as possible to hold adequate numbers of electrons. As DRAM makers narrow the capacitors, they also elongate them to maximize surface area. A new technology challenge to DRAM scaling has emerged: the etching of the deep capacitor holes threatens to exceed the limits of the “hard mask” material that acts as a stencil to determine where each cylinder is placed. If the hard mask is etched through, the pattern is ruined. Taller hard masks are not viable because as the combined depth of the hard masks and capacitor holes exceeds certain limits, etch byproducts remain and cause bending, twisting and uneven depths.


Applied Producer® XP Precision® Draco™ CVD

The solution is Draco™, a new hard mask material that has been co-optimized to work with Applied’s Sym3® Y etch system in a process monitored by Applied’s PROVision® eBeam metrology and inspection system that can take nearly half a million measurements per hour. The Draco hard mask increases etch selectivity by more than 30 percent which enables a shorter mask. Draco hard mask and Sym3 Y co-optimization includes advanced RF pulsing which synchronizes etching with byproduct removal to enable patterning holes that are perfectly cylindrical, straight and uniform. The PROVision eBeam system gives customers massive, immediate actionable data on hard mask critical dimension uniformity which is the key to capacitor uniformity. Applied’s solution provides customers with a 50-percent improvement in local critical dimension uniformity and reduces bridge defects by 100X, thus increasing yields.


Implementation of Draco for DRAM capacitors. (Applied Materials Master Memory Class May the 5th 2021 LINK)

“The best way to quickly solve materials engineering challenges with our customers is to co-optimize adjacent steps and use massive measurements and AI to optimize process variables,” said Dr. Raman Achutharaman, group vice president, Semiconductor Products Group at Applied Materials.



Bringing Black Diamond® Low-k Dielectric to the DRAM Market

A second key lever of DRAM scaling is reducing the die area needed by the interconnect wiring that routes signals to and from the memory arrays. Each of the metal lines is surrounded by an insulating dielectric material to prevent interference between data signals. For the past 25 years, DRAM makers have used one of two silicon oxides – silane and tetraethoxysilane (TEOS) – as the dielectric material. Continual thinning of the dielectric layers has reduced DRAM die sizes but created a new technology challenge: the dielectrics are now too thin to prevent capacitive coupling in the metal lines whereby signals interfere with one another causing higher power consumption, slower performance, increased heat and reliability risks.

The solution is Black Diamond®, a low-k dielectric material first used in advanced logic. With DRAM designs now experiencing similar scaling challenges, Applied is adapting Black Diamond to the DRAM market and making it available on the highly productive Producer® GT platform. Black Diamond for DRAM enables smaller, more compact interconnect wires that can move signals through the chips at multi-gigahertz speeds without interference and at lower power consumption.

High-k Metal Gate Transistors Bring PPAC Improvements to DRAM

A third key lever of DRAM scaling is improving the performance, power, area and cost of the transistors used in the periphery logic of the chip to help drive the input-output (I/O) operations needed in high-performance DRAM like those based on the new DDR5 specification.

Until today, DRAM used transistors based on polysilicon-oxide which were phased out in foundry-logic by the 28-nanometer node because extreme thinning of the gate dielectric allowed electrons to leak, thereby wasting power and limiting performance. Logic makers adopted high-k metal gate (HKMG) transistors, replacing the polysilicon with a metal gate and the dielectric with hafnium oxide, a material that improves gate capacitance, leakage and performance. Now memory makers are designing HKMG transistors into advanced DRAM designs to improve performance, power, area and cost. In DRAM as in logic, HKMG will increasingly replace polysilicon transistors over time.

This technology inflection in DRAM creates growth opportunities for Applied Materials. The more complex and delicate HKMG materials stack is challenging to manufacture, and in-vacuum processing of adjacent steps using Applied’s Endura® Avenir™ RFPVD system has become the industry’s preferred solution. HKMG transistors also benefit from Applied’s epitaxial deposition technologies such as Centura® RP Epi along with film treatments including RadOx™ RTP, Radiance® RTP and DPN which are used to fine-tune the transistor characteristics for optimum performance.

“Draco hard mask and Black Diamond low-k dielectric are being adopted by leading DRAM customers, and the first HKMG DRAMs are now being introduced,” added Dr. Achutharaman. “Applied Materials projects billions of dollars in revenue growth as these DRAM inflections play out over the next several years.”

Additional information about the growth outlook for these technologies is being provided at Applied’s 2021 Memory Master Class being held later today. For more information, please visit the investor page of our website at https://ir.appliedmaterials.com.

Wednesday, May 5, 2021

BASF and Umicore have entered a non-exclusive patent cross-license agreement for battery cathode active materials and their precursors

BASF and Umicore have entered into a non-exclusive patent cross-license agreement covering a broad range of cathode active materials (CAM) and their precursors (PCAM), including chemistries such as nickel cobalt manganese (NCM), nickel cobalt aluminum (NCA), nickel cobalt manganese aluminum (NCMA) and lithium rich, high manganese high energy NCM (HE NCM).

CAMs are critical for the performance, safety and cost of lithium-ion batteries used in modern electromobility and other applications. The interplay between PCAM and CAM and the development of these materials are crucial to maximize battery cell performance. For many years, BASF and Umicore have been investing intensively in product innovation for low, medium and high nickel PCAM and CAM resulting in each company owning sizeable and largely complementary patent portfolios.



BASF Research on high-performance battery materials at BASF’s laboratory in Ludwigshafen, Germany (above). Umicore 3D open battery cell (below).

Building on each other’s strong product technology expertise to support the technological needs of their customers, BASF and Umicore have entered into a landmark patent agreement allowing both partners to combine a wider range of IP-protected technologies related to features such as chemical composition, powder morphology and chemical stability. The agreement increases both parties’ ability to customize their materials to meet the increasingly diversified and complex customer requirements at the battery cell and application level. Furthermore, through this agreement both parties can increase even more their product development speed demonstrating their commitment to addressing the main challenges e-mobility is facing, such as energy density, safety and cost while enhancing transparency and reducing IP-risks for battery cell manufacturers and their customers.

The agreement covers more than 100 patent families filed in Europe, US, China, Korea and Japan. Both parties retain the right to enforce their own IP-rights against third parties in the future.

“This agreement with Umicore enables even faster, more sustainable and innovative battery materials development to serve our customers including battery cell manufacturers and automotive,” said Dr. Peter Schuhmacher, President of BASF Catalysts. “The continuous development of battery materials will accelerate the transformation towards full electrification and thus support the world’s efforts to fight climate change.”
Marc Grynberg, CEO of Umicore, commented: “This agreement with BASF is an important step in promoting cathode material innovation. It strengthens our technology positioning and further increases our ability to develop bespoke solutions which meet the most stringent performance and quality standards of our battery and automotive customers.”

Imec and AIXTRON Demonstrate 200 mm GaN Epitaxy on AIX G5+ C

Imec and AIXTRON Demonstrate 200 mm GaN Epitaxy on AIX G5+ C for 1200V Applications with Breakdown in Excess of 1800V

LEUVEN (Belgium), APRIL 29, 2021 — Imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, and AIXTRON, the leading provider of deposition equipment for compound semiconductor materials, have demonstrated epitaxial growth of gallium-nitride (GaN) buffer layers qualified for 1200V applications on 200mm QST® substrates, with a hard breakdown exceeding 1800V. The manufacturability of 1200V-qualified buffer layers opens doors to highest voltage GaN-based power applications such as electric cars, previously only feasible with silicon-carbide (SiC)-based technology. The result comes after the successful qualification of AIXTRON’s G5+ C fully automated metal-organic chemical vapor deposition (MOCVD) reactor at imec, Belgium, for integrating the optimized material epi-stack.

AIX G5+ C reactor module with cassette-to-cassette wafer handler (www.aixtron.com)

Wide-bandgap materials gallium-nitride (GaN) and silicon-carbide (SiC) have proved their value as next-generation semiconductors for power-demanding applications where silicon (Si) falls short. SiC-based technology is the most mature, but it is also more expensive. Over the years tremendous progress has been made with GaN-based technology grown on for example 200mm Si wafers. At imec, qualified enhancement mode high-electron-mobility transistors (HEMTs) and Schottky diode power devices have been demonstrated for 100V, 200V and 650V operating voltage ranges, paving the way for high-volume manufacturing applications. However, achieving operating voltages higher than 650V has been challenged by the difficulty of growing thick-enough GaN buffer layers on 200mm wafers. Therefore, SiC so far remains the semiconductor of choice for 650-1200V applications – including for example electric cars and renewable energy.

For the first time, imec and AIXTRON have demonstrated epitaxial growth of GaN buffer layers qualified for 1200V applications on 200mm QST® (in SEMI standard thickness) substrates at 25°C and 150°C, with a hard breakdown exceeding 1800V. Denis Marcon, Senior Business Development Manager at imec: “GaN can now become the technology of choice for a whole range of operating voltages from 20V to 1200V. Being processable on larger wafers in high-throughput CMOS fabs, power technology based on GaN offers a significant cost advantage compared to the intrinsically expensive SiC-based technology.”

Key to achieving the high breakdown voltage is the careful engineering of the complex epitaxial material stack in combination with the use of 200mm QST® substrates, executed in scope of the IIAP program The CMOS-fab friendly QST® substrates from Qromis have a thermal expansion that closely matches the thermal expansion of the GaN/AlGaN epitaxial layers, paving the way for thicker buffer layers – and hence higher voltage operation.

Dr. Felix Grawert, CEO and President of AIXTRON “The successful development of imec’s 1200V GaN-on-QST® epi-technology into AIXTRON’s MOCVD reactor is a next step in our collaboration with imec. Earlier, after having installed AIXTRON G5+C at imec’s facilities, imec’s proprietary 200mm GaN-on-Si materials technology was qualified on our G5+ C high-volume manufacturing platform, targeting for example high-voltage power switching and RF applications and enabling our customer to achieve a rapid production ramp-up by pre-validated available epi-recipes. With this new achievement, we will be able to jointly tap into new markets.” Currently, lateral e-mode devices are being processed to prove device performance at 1200V, and efforts are ongoing to extend the technology towards even higher voltage applications. Next to this, imec is also exploring 8-inch GaN-on-QST® vertical GaN devices to further extend the voltage and current range of GaN-based technology.

EMD Electronics Creates Center of Excellence for Atomic Engineering by Combining Thin Films R&D Lab with Intermolecular

  • Unique capabilities with novel organo-metallic precursors and applications will enable customers to explore and test advanced materials for next-generation devices
  • Centralized innovation hub to speed up the delivery of material solutions to customers
San Jose, Calif., May 04, 2021 – EMD Electronics, a business of Merck KGaA, Darmstadt, Germany, today announced the creation of a Center of Excellence for atomic engineering at Intermolecular’s San Jose facility. The capabilities of EMD Electronic’s Thin-Films Applications R&D lab have been merged with Intermolecular’s advanced electronics capabilities to create a centralized innovation hub for our customers. This will enable seamless integration of testing and deposition of new materials for next-generation devices.


EMD Electronics "We've established a Center of Excellence for Atomic Engineering! Our  Thin Films Applications R&D lab has merged with Intermolecular's advanced electronics capabilities to create a centralized innovation hub for our customers. This will enable seamless integration of testing and deposition of new materials for next-generation semiconductors." (Quote and photo above from EMD Electronics LinkedIn Announcement LINK)

“Intermolecular’s 150,000 sqft facility in the heart of Silicon Valley is the perfect center to converge materials innovation and testing for our global customers,” said Anand Nambiar, Head of Semiconductor Materials business unit at EMD Electronics. “To continue scaling down to advance nodes and develop next-generation applications, a reliable supply of innovative materials is required. Our expertise in atomic engineering in combination with Intermolecular’s unique tools and processes, will enable our customers to test, validate, prototype and introduce new advanced technologies and materials faster in the market.”

“Ongoing miniaturization drives our customers to build their devices from the atoms up in complex 3D arrangements. Our advanced deposition materials allow them to create differentiation in their products,” said Casper van Oosten, Business Field Head and Managing Director for Intermolecular, Inc. “Until now, the value chain process to test and validate materials involved multiple steps and was time consuming. By combining capabilities, we now have access to the right team, tools and data and can provide our customers with a more seamless integration to prove new organometallic materials for electronic applications.”

On March 4, Merck KGaA, Darmstadt, Germany moved the Silicon Valley Innovation Hub to San Jose’s Intermolecular site creating a unique space for innovation and collaboration with start-ups at the intersection of life science, healthcare and electronic materials. The building boasts 30,000 square feet of cleanroom, chemical labs, offices, a collaboration area and event spaces. Intermolecular, Inc. (“Intermolecular”) is the trusted partner for materials innovation and a wholly-owned subsidiary of the EMD Electronics business of Merck KGaA, Darmstadt, Germany.

About EMD Electronics

EMD Electronics is the North America electronics business of Merck KGaA, Darmstadt, Germany. EMD Electronics’ portfolio covers a broad range of products and solutions, including high-tech materials and solutions for the semiconductor industry as well as liquid crystals and OLED materials for displays and effect pigments for coatings and cosmetics. Today, EMD Electronics has approximately 2,000 employees around the country with regional offices in Tempe (AZ) and Philadelphia (PA). For more information, please visit www.emd-electronics.com.

About Intermolecular

Intermolecular is a trusted partner for materials innovation and the Silicon Valley science hub of Merck KGaA, Darmstadt, Germany and its electronics business. Intermolecular explores, tests and develops advanced materials that are revolutionizing the next generation of electronics that make lives easier, entertaining and more productive. For more than 15 years, the team, methodologies and quality data have driven impactful outcomes, market opportunities and innovative product designs for customers.

About Merck KGaA, Darmstadt, Germany

Merck KGaA, Darmstadt, Germany, a leading science and technology company, operates across healthcare, life science and electronics. Around 58,000 employees work to make a positive difference to millions of people’s lives every day by creating more joyful and sustainable ways to live. From advancing gene editing technologies and discovering unique ways to treat the most challenging diseases to enabling the intelligence of devices – the company is everywhere. In 2020, Merck KGaA, Darmstadt, Germany, generated sales of € 17.5 billion in 66 countries.

The company holds the global rights to the name and trademark “Merck” internationally. The only exceptions are the United States and Canada, where the business sectors of Merck KGaA, Darmstadt, Germany operate as EMD Serono in healthcare, MilliporeSigma in life science, and EMD Electronics. Since its founding 1668, scientific exploration and responsible entrepreneurship have been key to the company’s technological and scientific advances. To this day, the founding family remains the majority owner of the publicly listed company.

Tuesday, May 4, 2021

CBS 60 Minutes - Chip shortage highlights U.S. dependence on fragile supply chain

Seventy-five percent of semiconductors, or microchips — the tiny operating brains in just about every modern device — are manufactured in Asia. Lesley Stahl talks with leading-edge chip manufacturers, TSMC and Intel, about the global chip shortage and the future of the industry.
  • Pat Gelsinger: 25 years ago, the United States produced 37% of the world's semiconductor manufacturing in the U.S. Today, that number has declined to just 12%
  • Within the world of global collaboration, there's intense competition. Days after Intel announced spending $20 billion on two new fabs, TSMC announced it would spend $100 billion over three years on R&D, upgrades, and a new fab in Phoenix, Arizona, Intel's backyard, where the Taiwanese company will produce the chips Apple needs but the Americans can't make.

Intel CEO Pat Gelsinger shows CBS correspondent Lesley Stahl a silicon wafer.

Monday, May 3, 2021

APTC from South Korea is developing CVD, ALD and oxide etcher systems as it expands its portfolio

Since 2002, APTC has engaged in the manufacturing of dry etcher systems for mass semiconductor production. Today, the company supplies 300mm plasma etching systems, 200mm plasma etching systems, plasma doping systems, and light-emitting-diode etching systems. Over the past 19 years, APTC has been an established supplier for SK Hynix in South Korea and its mass production subsidiaries in China. SK Hynix is the second-largest memory chipmaker and third-largest semiconductor company globally.

300 mm Leo Poly Etcher system from APTC

With its numerous patents, awards, original plasma source technology and market leadership as South Korea’s sole domestic supplier of poly etching equipment, APTC is gearing up for growth at home and overseas. Fully committed to research and development (R&D) in pursuit of quality and innovation, APTC has invested US$20 million in American engineering capabilities, maintaining an R&D office in the United States, where it aims to work with tier-one semiconductor companies.

Listed on the Korea Exchange under the leadership of its current CEO, the company has revitalized its business strategy with plans to explore new markets, new clients, and new technologies. The state-of-the-art plasma technology also has a number of applications in the next-generation dry etch sector and its related innovations.

In semiconductor manufacturing, chemical vapor deposition (CVD) is a method used to produce high-performance and high-quality solid materials such as thin film in a vacuum, while atomic layer deposition (ALD) is a vapor phase technique of laying thin films on a substrate. APTC is developing CVD, ALD, and oxide etcher systems as it expands its portfolio of offerings.

Source: New strategy, markets and innovation fuel APTC’s rise in semiconductor etcher systems (LINK)

Saturday, May 1, 2021

Jusung to spend 36.3 billion won to build new production facility

Fab ALD and CVD equipment firm Jusung Engineering will spend 36.3 billion won to build a new production facility in Gwangju, where the company is based, it said on Thursday. In addition, it is reported that Jusung recently sold SK Hynix 30 ALD systems.

Source: Jusung to spend 36.3 billion won to build new production facility



Nanexa AB started the first clinical study with ALD based PharmaShell® at the Karolinska University Hospital

Nanexa AB (publ) today announces that the company has started its first clinical study with PharmaShell®, a phase I study in Nanexa's product project NEX-18, at the Karolinska University Hospital.


The study aims to show that the company's NEX-18 product, a long-acting formulation of azacitidine (the active substance in the drug Vidaza), provides the desired safety and pharmacokinetic profile. In the study, two doses of NEX-18 will be studied. The study will be conducted at Karolinska University Hospital in Stockholm and Akademiska Sjukhuset in Uppsala.

“The start of this study is a very big milestone in Nanexa's development. It is with great enthusiasm that we now see that the study is getting started. We expect the study to be completed during the third quarter.”, said David Westberg, CEO of Nanexa.

The NEX-18 product is being developed to improve the treatment of MDS (Myelodysplastic Syndrome), a form of hematological cancer that mainly affects the elderly. This is done by utilizing the unique properties of the PharmaShell® concept to create a controlled release depot formulation. Today's treatment means that injections are given at hospitals seven days in a row each month. The goal of the NEX-18 project is to simplify treatment by only having to give one injection. In addition to greatly simplifying for patients, Nanexa sees that NEX-18 will provide significant cost savings compared to current treatment.

“With the results of this study, we intend to continue the clinical development with a phase II study to achieve clinical Proof of Concept. After that, the goal is to run NEX-18 further towards commercialization, either through a license partner or as an own project, whatever option is deemed to create most value for Nanexa”, said David Westberg.

ALD – The coating technology behind the drug delivery system PharmaShell®

The technology used by Nanexa to manufacture the shells that make up PharmaShell® is Atomic Layer Deposition (ALD). In ALD, reactive gases are used which, with atomic layer by atomic layer, build up a surface coating with high precision. ALD has been used in the electronics industry for decades and is thus well established for larger scale production and automation.

ALD can also be used at low temperatures, down to room temperature, unlike other surface coating techniques which usually use considerably higher deposition temperatures, thereby risking inactivating the drug. A further advantage of ALD is that the coating is carried out under dry conditions, which makes it possible to coat drugs that are quickly dissolved in water or other solvents.

By building up an atomic layer for atomic layer with ALD, the thickness of the coating can be controlled with extremely high precision. Drug particles of various sizes and shapes can be coated since the only thing required for coating to be formed is that the reaction gases can reach the surface.
About Nanexa AB (publ)

Nanexa AB is a nanotechnology drug delivery company focusing on the development of PharmaShell®, a new and groundbreaking drug delivery system with great potential in a number of medical indications. Within the framework of PharmaShell®, Nanexa has partnership agreements with among others, AstraZeneca.

Friday, April 30, 2021

The US Patent Office has approved AlixLabs’ patent application for nanofabrication by ALE Pitch Splitting (APS)

(30 April 2021, Lund Sweden). The US Patent Office has approved AlixLabs’ (AlixLabs AB) patent application for nanofabrication by ALE Pitch Splitting (APS).

The US Patent Office has issued a patent (US10930515) on February 23, 2021. The patent covers methods to split nanostructures in half by a single process step using Atomic Layer Etching (ALE). The method has the potential to have a big impact on the semiconductor industry by enabling sustainable scaling of electronic components and shrink chip designs further in a cost-effective way. The method is complementary for single exposure Immersion and Extreme UV (EUV) Lithography and corresponding multiple patterning technologies like self-aligned double and quadruple patterning (SADP resp. SAQP) as well as directed self-assembly (DSA).

In direct comparison to mentioned more complicated and expensive methods, APS may cut the need for certain fab equipment investments considerably, reduce manufacturing cost and energy consumption as well as reduce greenhouse gas emission during the patterning processing by up to 50%, allowing greener and affordable way forward for the semiconductor industry.

AlixLabs aims at applications for the manufacturing of leading-edge sub 5nm Logic Devices and Memory Chips that are used for everyday consumer electronic devices, 5G and AI.

The company’s CEO Dr. Jonas Sundqvist comments:

After founding the company in 2019 we now move into very exciting times. The team has been expanded with Dr. Mohammad Karimi as Principal Scientist and we have several applications and projects in the pipeline for broadening our patent protection and creating further opportunities for commercial agreements starting now. Currently, we are taking on the first round of private investments and will expand operations for both core activities in Lund, Sweden, at NanoLund and Lund Nano Lab, and the IDEON Science Park in Sweden. In addition, we are heading to the heart of the European semiconductor industry in Dresden Germany for a lab to fab transfer to 300 mm silicon wafer process verification to get ready for customer demonstrations of APS.

The company’s CTO Dr. Dmitry Suyatin comments:

This patent is built on a surprising discovery by the inventors, which took place at Lund Nano Lab during the Master project by Dr. Sabbir A. Khan who has recently received his PhD from the University of Copenhagen and now continues his postdoctoral work at Niels Bohr Institute in Copenhagen.

About AlixLabs AB:

AlixLabs (www.alixlabs.com) is an innovative startup enabling the semiconductor industry to scale down Logic and Memory components in a cost-effective manner by the use of ALE Pitch Splitting (APS).

Background Information:



Picture:



Thursday, April 29, 2021

Frontiers in Materials Manufacturing: Materials for Printed Hybrid Electronics

The U.S. Department of Energy’s Argonne National Laboratory scientists are hosting a webinar on May 19 that will explore the challenges and opportunities for materials in printable hybrid electronics.


These devices will transform how we view and use electronics in our daily life. Wearable health devices, sensors, and antennas will be cheaper, more versatile, and draw less power. But challenges remain, especially when creating and designing the right materials.

Angel Yanguas-Gil, Principal Materials Scientist, Argonne National Laboratory will talk about how the combination of novel materials (with and without ALD) and brain-inspired computing can enable smart sensors and edge computing based on printed electronics.

During this webinar, scientists and experts from IDTechEx, NextFlex and other organizations will describe the state-of-the-art for materials in this area. Experts will also explain the scientific advances that will lead to the next generation of printed electronics.

Hear from experts including:
  • Matthew Dyson, Technology Analyst, IDTechEx
  • Eric Forsythe, Program Manager, NextFlex Manufacturing Institute, Army Research Laboratory
  • Stijn Gillissen, Global Head Printed Electronics, Henkel
  • Mark Hersam, Walter P. Murphy Professor of Materials Science and Engineering, Northwestern University
  • Melbs LeMieux, Co-Founder and President, Electroninks
  • Matthew Tirrell, Dean of the Pritzker School of Molecular Engineering and Robert A. Millikan Distinguished Service Professor, University of Chicago; Senior Scientist, Argonne National Laboratory
  • Sihong Wang, Assistant Professor of Molecular Engineering, University of Chicago
  • Angel Yanguas-Gil, Principal Materials Scientist, Argonne National Laboratory


Kenneth Hörhammer joins Picosun as Vice President, Sales

ESPOO, Finland, 29th of April 2021 – Picosun Group has appointed Kenneth Hörhammer as Vice President, Sales, and a member of Picosun Group’s Leadership Team as of May 1, 2021.


"The potential for ALD is almost limitless, and Picosun is spearheading this technology globally." 


Kenneth Hörhammer has strong international business experience as well as passion and proven results in sales development and execution. Before joining Picosun, Kenneth Hörhammer has held multiple global business and sales leadership positions at Vaisala both in Finland and abroad in the past 17 years.

“I am excited to join this fantastic team and company. The potential for ALD is almost limitless, and Picosun is spearheading this technology globally. Picosun is a bright example of Finnish high-tech innovativeness, and I am thrilled to become an integral part of this international growth story,” states Kenneth Hörhammer.

“I warmly welcome Kenneth Hörhammer to join our team. The professional background and global experience he brings to our team enable us to significantly strengthen our global sales management, build a stronger sales organization and enforce customer satisfaction,” concludes Jussi Rautee, CEO of Picosun Group.

Friday, April 23, 2021

Beneq and E+R Group enter strategic partnership for roll-to-roll ALD

The revolutionary Genesis ALD platform enables next-generation battery manufacturers to scale up quickly

Beneq, a leading ALD equipment manufacturer, today announces a strategic partnership with E+R to design and commercialize innovative roll-to-roll ALD systems for R&D and volume production. The companies have together developed a revolutionary roll-to-roll ALD system.


The new ALD platform – named Genesis ALD – is targeted at various industrial R2R ALD applications, including:
  • Passivation of cathodes and anodes for various types of lithium-ion and solid-state batteries
  • Conductive layers and encapsulation for flexible solar cells
  • Moisture barriers for flexible electronics
“Bringing Beneq’s unique roll-to-roll ALD technology together with Emerson & Renwick’s deep experience in roll-to-roll vacuum and web handling is good news for the battery industry,” says Sami Sneck, Business Executive at Beneq.

“The partnership allows us to offer state-of-the-art atomic layer deposition technology for enhancing li-ion and other next-generation batteries, where we expect to see strong market demand. There is a lot of potential for this technology in other application areas too, but the market for those end-products is yet to mature,” says Sneck.

“We have worked very well with Beneq and both companies have a good grasp of the potential markets available,” says Andrew Jack, Sales Director at E+R Group. “ E+R offers a wide range of high-end R2R vacuum equipment. This collaboration makes it easier to integrate R2R ALD with other techniques where necessary.”

The partnership is effective immediately. For inquiries, visit www.beneq.com/en/contact-us.

Genesis ALD

World’s only commercially available roll-to-roll ALD system. Learn more.


Thursday, April 22, 2021

Highlights of Prof. Erwin Kessels’ Recent Webinar on “Plasma-Assisted Atomic Layer Deposition: From Basics to Applications” Organized by the American Vacuum Society (AVS)

On the 10th of February, 2021, Prof. Erwin Kessels from Eindhoven University of Technology, Netherlands, delivered a 4-hour long webinar, full of highly valuable content, on Plasma-Assisted (Enhanced) Atomic Layer Deposition (PAALD/PEALD). For those who missed this wonderful opportunity to have an insight into one of the most advanced deposition processes in the semiconductor chip production line today, Abhishekkumar Thakur, Associated Technology Analyst with BALD Engineering AB has come up with some interesting highlights and key takeaways from Prof. Kessels' webinar from when we took part:


February 10, Erwin Kessels will present an AVS Webinar: Plasma-Assisted Atomic Layer Deposition: From Basics to Applications. Learn more at https://avs.swoogo.com/webinar_pald

Interesting Highlights and Key Takeaways

1. The current deposition wafer fab equipment market size for ALD has grown as big as or even bigger than that for PVD (Physical Vapor Deposition), but still less than that for CVD (Chemical Vapor Deposition)

2. Semiconductor processing plasmas exist under non-equilibrium conditions, i.e., the electrons present in the plasma are heated by the applied electric field up to a temperature of 50,000 K (~5eV) as opposed to the relatively low gas temperature (300-500 K) due to the low pressures. This is the reason that PEALD becomes useful in the deposition of the high-quality thin-films on thermally fragile substrates/structures, e.g., plastic, foil, photoresist, etc.

3. Electron Energy Distribution Function (EEDF) together with the electron impact cross-section with the events occurring in the plasma (e.g. dissociation, excitation, ionization, etc.) determines the density of a particular species in the plasma (e.g. ions, radicals, etc.)

Ref: https://doi.org/10.1116/6.0000145

4. The thickness of the plasma sheath is typical ~0.1 to 10mm depending on the electron density, the electron temperature, and the potential difference between the plasma and the surface in contact with the plasma (the larger the potential difference is, the thicker the sheath is). The plasma sheath bends along the surface of the macroscopic objects and ions strike every surface of the object orthogonally. However, the plasma sheath can’t follow the surface of the microscopic features (e.g. microscopic trenches in a wafer), thus the ions bombard only the top and the bottom flat surfaces of the features.

5. Plasma source can also be a big source of Oxygen contamination when gets eroded by ion bombardment. It’s especially a big problem in the PEALD of nitrides in ICP systems. In this regard, the use of Alumina as a dielectric window in an ICP system can lead to less sputter yield than that from the use of Quartz as a dielectric window, thereby providing better quality nitride films. This is the reason why many companies have adopted Hollow Cathode Plasma source developed by Meaglow Ltd. in their systems.

6. Vacuum systems themselves can also be a source of Oxygen contamination, especially the ALD reactors because they typically don’t have very low base pressure; usually ~10-6 Torr after utilizing a turbo molecular pump. If the ALD cycle times are relatively long such that the reactive surfaces are exposed to the (“poor”) vacuum for a relatively long time, the Oxygen concentration in the deposited film increases. In other words, the faster the ALD process is, the less contaminated the deposited film is.


An example was given from ALD of HfN from Research of Saurabh Karwal from TU Eindhoven (To be published in 2021) studying the impact of oxygen incorporation by artificially extended cycle times.


7. Strong pumping does not only lead to a better vacuum and in turn less Oxygen contamination in the deposited film, but it also helps reduce the gas residence time inside the reactor minimizing the surface reaction by-products being cracked in the plasma and redepositing on the surface. Thus, a higher pumping speed results in a higher quality film growth.

8. Regarding the conformal growth of an ALD film: When S0*AR2 < 0.1, the film growth is reaction-limited, and extending the precursor/co-reactant dose (beyond the saturation dose) will not make any difference in the film conformality. It’s similar to the growth on a planar substrate. However, when S0*AR2 > 100, the film growth is diffusion-limited, and hence, the precursor/co-reactant dose, that needs to be applied to get a fully conformal growth in the trench, scales with the AR2. In the case of PEALD, the film growth can be recombination limited too, and it becomes even difficult to get a conformal film growth because of the co-reactant dose that needs to be applied to get a fully conformal growth in the trench scales exponentially with the AR. The conformality becomes further difficult to achieve when the surface recombination probability r of the radicals strengthens. Moreover, the conformality of the PEALD film depends heavily on the film material to be deposited because the surface recombination probability of the radicals heavily depends on the material, e.g., SiO2 films can go much deeper into the trench than Al2O3 or HfO2 films since the surface recombination probability of O radicals on SiO2 is much lower than that on Al2O3 or HfO2. The surface recombination probability also depends on the substrate temperature and the process pressure.

[S0 = Sticking probability with zero surface coverage, AR = Aspect Ratio of the trench]

9. PEALD film properties are strongly dependent on ion-energy dose = plasma exposure time*ion flux*mean ion energy. By applying substrate biasing, i.e., by employing mild ion bombardment, mass density, refractive index, crystalline properties, resistivity, void fraction, residual stress, surface roughness, thickness uniformity, chemical composition, topographic selectivity, etc. of the deposited film can be tuned.

10. Plasma can cause radiation damage in the PEALD films because plasma also comprises radiation in the vacuum ultraviolet (VUV) region (i.e. wavelengths below 200nm) generating VUV photons that can have energy up to even 10-40 eV. However, this certainly can’t disqualify plasma processing in the semiconductor industry because there are methods to avoid the adverse impact of VUV photons, e.g., working at higher process pressure as the VUV photons are easily absorbed by the gas.

11. Some of the applications of PEALD in the Logic HVM include cut mask gap-fill, single diffusion break (SDB) gap-fill, contact over active gate (COAG) self-aligned contact (SAC) gap-fill, gate oxide for the IO transistors, solid-state doping, patterning hard-mask, etc. and in the Memory HVM include raising contact pad landing by depositing SiN, slide oxide line, GST X-point (phase-change) memory inner liner, etc. Topographically selective PEALD has been in use in 3D NAND production. The biggest PEALD market at present is that of self-aligned multiple patterning with SiO2 since 22 nm node. It’s important here to note that more than 50% of the PEALD layers are sacrificial and don’t show up in the device! PEALD of SiO2 has also been in the display market, e.g., in a barrier stack against moisture permeation in the curved plastic OLED displays in the Cadillac Escalade 2021. In addition to that, PEALD SiO2 has also found its application in the nanoscale encapsulation of perovskite nanocrystal luminescent films to enhance its stability against water, light, and heat.

Wednesday, April 21, 2021

ASM International and ALD opens up 2021 with a strong quarter in Logic & Foundry segment

ASM International N.V. (Euronext Amsterdam: ASM) today reports its first quarter 2021 operating results (unaudited) in accordance with IFRS.

  • New orders at €411 million were 8% above the level of last quarter.
  • Revenue for the first quarter of 2021 was €394 million and increased 14% compared to the previous quarter due to strong market demand.
  • The gross profit margin was 49.5% in Q1 2021 compared to 45.2% in the previous quarter, mainly due to a favorable mix.
  • The operating result was €125 million compared to €78 million in the previous quarter.
  • Normalized net earnings for the first quarter of 2021 were €125 million, €43 million higher compared to Q4 2020.
LINKS
Q12021 Presentation: LINK
Earings Call Transcript (Seeking Alpha): LINK
  • Gartner expects WFE to increase by 23% in 2021 (April 2021), up from +8% still predicted in December 2020
  • VLSI Research expects WFE to increase by 22% in 2021 (April ’21), up from a previous forecast of +12% last February

“2021 started very strong for ASM,” said Benjamin Loh, President and Chief Executive Officer of ASM International. “In the first quarter, we realized revenue of €394 million, well within our guidance of €380-400 million and up 14% from the level in Q4. The revenue level was again driven by continuous high demand in the logic/foundry segment. Our Q1 order intake, at €411 million, was up 8% from an already high level in Q4 and ahead of our guidance of €380-400 million, driven by solid demand in logic/foundry. Our gross margin was at a high level of 49.5%, caused by a favorable mix, while our operational cash flow showed a record high level in Q1. Based upon the strong cash position at the end of March, we plan to start a new €100 million share buyback program.”

Outlook

For Q2, on a currency comparable level, we expect revenue of €390-€410 million. Q2 bookings, on a currency comparable level, are expected to be in the range of €420-€440 million.

“Based upon the current market developments, the wafer fab equipment (WFE) market is expected to grow by a high-teens to low twenties percentage in 2021.”

Based upon the current market developments, the wafer fab equipment (WFE) market is expected to grow by a high-teens to low twenties percentage in 2021. 2021 is expected to develop in another year of solid growth for ASM. Based upon our current view, we expect our sales in the second half to be at least at the same level as in the first half.

ALD and New Market segment

"Moving to the longer-term outlook, ALD continues to be an important, long-term growth market for ASM. For 2020, we estimate the single wafer ALD market, to reach the size of US$1.5 billion in line with the expectations we put out several years ago. We are currently reviewing our focus and expect to present the new market for customers later in the year. However, it is clear to us that ALD will remain the fastest-growing segment of the deposition market, with substantial, double-digit growth potential in the coming years. ALD is a critical technology for our industry to develop the next generation's faster processes and memory devices that in turn will enable the growth of key markets such as in 5G and artificial intelligence." - Benjamin Loh

DRAM and High-k/Metal Gate adaption with ALD

ASM's recent most significant achievement in expanding our memory presence has been in ALD wins for high-k metal gate in the high-performance DRAM segment, with the leading memory players. It is a key technology that reduces device leakage and thereby supports increases in both energy efficiency and device performance. As reported earlier, ASM books their first meaningful sales for this application last year and expects it to support a healthy increase in our DRAM sales this year.

What has been launched today is primarily what is called the high-performance DRAM segment or sub-segment within the DRAM market and it has been adopted by all the DRAM manufacturers.

ASM ALD Market Share

According to the information given in the call, Gartner report ASM´s market share in the single wafer ALD market has grown from 57% to 60% during 2020 and Benjamin Loh agreed that that estimate is in the right direction. 


Tuesday, April 20, 2021

Spatial atomic layer depos­ition system FHR.Star.400x300-SALD delivered

FHR (Press Release) has suc­cess­fully delivered the FHR.Star.400x300-SALD. This is FHR’s first machine using spa­tial atomic layer depos­ition (spa­tial ALD). The sys­tem is cap­able of depos­it­ing films on both 200 mm wafers and tex­tiles or other 3D sub­strates of up to 400 x 300 x 10 mm³.


Spa­tial ALD allows for high speed and highly con­formal coat­ings on flat as well as micro-struc­tured sur­faces. Applic­a­tions are dielec­tric lay­ers for anti-reflect­ive coat­ings on lenses as well as coat­ings for elec­trical insu­la­tion or pro­tec­tion against mois­ture or oxy­gen applied to energy sys­tems, med­ical devices or func­tion­al­ized tex­tiles.


In its base con­fig­ur­a­tion, the FHR.Star.400x300-SALD is made for thermal ALD on up to eight wafers or four 3D sub­strates in par­al­lel. For Al2O3 on wafers, depos­ition rates of 5-10 nm/​min and thick­ness non-uni­form­it­ies <± 2.0% have been reached. A large blind flange allows to add other thin film tech­no­lo­gies or plasma treat­ment and the machine is already pre­pared to for in-situ ellip­so­metry and trans­mis­sion spec­tro­scopy for improved pro­cess con­trol. To fur­ther increase the pro­ductiv­ity, the FHR.Star.400x300-SALD can be included in a cluster setup with a cent­ral hand­ling robot cham­ber, load locks, pre-treat­ment cham­bers or other pro­cess cham­bers.


FHR, Marian Böh­ling (CSO): „ALD coat­ing tech­no­logy is val­ued for its unique con­form­al­ity and pre­ci­sion even on 3D sub­strates but claimed to be slow and there­fore often ignored. Our FHR.Star.400x300-SALD refutes this claim impress­ively and makes ALD avail­able as an excit­ing and prom­ising thin film tech­no­logy for a wide range of indus­trial applic­a­tions. Installed at a world-class thin film research insti­tute, this FHR.Star.400x300-SALD offers a low-threshold access for every­body inter­ested in using ALD for own products.“

FHR Anla­gen­bau GmbH - The Thin Film Com­pany was foun­ded in 1991 and offers tailor-made vacuum coat­ing sys­tems and sput­ter­ing tar­gets as well as coat­ing and equip­ment ser­vice from a single source.

The close cooper­a­tion with our cus­tom­ers starts with the pro­cess devel­op­ment and con­tin­ues with the devel­op­ment of pilot scale up to mass pro­duc­tion. Our port­fo­lio integ­rates the tech­no­lo­gies sput­ter­ing, evap­or­a­tion, PECVD and ALD into the equip­ment types cluster, inline, roll-to-roll and batch. Based in Ger­many and with sys­tems installed world­wide in vari­ous indus­tries such as semi­con­duct­ors, MEMS, elec­tron­ics, sensor tech­no­logy, optics, photo­vol­ta­ics, etc., we will jointly find vacuum coat­ing solu­tion for our cus­tom­ers.

Con­tact
FHR Anla­gen­bau GmbH
Mar­ket­ing & Com­mu­nic­a­tion
Phone: +49 35205 520-0
E-mail: mar­ket­ing@fhr.de

Saturday, April 17, 2021

Unraveling the different causes behind ferroelectricity in HfO2

Interplay between oxygen defects and dopants: effect on structure and performance of HfO2-based ferroelectrics

Monica Materano et al
Inorg. Chem. Front., 2021, Advance Article https://doi.org/10.1039/D1QI00167A

Abstract: Ten years after the first report on ferroelectricity in HfO2, researchers are still occupied unraveling the different causes behind this phenomenon. Among them, oxygen related defects seem to play a major role, affecting both crystalline phase formation and performance of HfO2-based devices. This review surveys the available literature and provides a broad picture on the topic, starting with an overview of existing oxygen-related defects, assessing the extensive calculations and experimental reports on phase stabilization in both undoped and doped HfO2 and concluding with a discussion of device reliability involving oxygen vacancies, first in more classical HfO2 applications such as MOSFET high-k metal gate and resistive switching devices and later in the three major groups of ferroelectric non-volatile memory devices.




Friday, April 16, 2021

ALD coating for delayed drug delivery via the lungs for the treatment of respiratory diseases by Astra Zeneca and TU Delft

The medical thin film coating segment is a mature and growing market for CVD & PVD coating equipment and ALD is an emerging segment. Applications are :
  • electronic implants (IC, BioMEMS, Pacemaker) 
  • prosthetic implants (spine, trauma, hip, knee, dental)
  • active pharmaceuticals ingredients for  delayed drug delivery

ALD has slowly but steadily moved into the field of medical and pharmaceuticals. Just as some examples Nanxa AB in Sweden and Applied Materials has a joint venture and Picosun in Finland and Veeco has very active business unit and offer ALD systems specifically for medical applications for several years. As well as several reports on applications such as dental, tissue engineering, smart lenses, and various electronic or prosthetic implants.

Here is a new application explored by Dutch TU Delft and British-Swedish Astra Zeneca using ALD coating for delayed drug delivery via the lungs for the treatment of respiratory diseases.

Controlled Pulmonary Delivery of Carrier-Free Budesonide Dry Powder by Atomic Layer Deposition
ACS Nano 2021, XXXX, XXX, XXX-XXX
Publication Date:March 26, 2021, https://doi.org/10.1021/acsnano.0c10040



Ideal controlled pulmonary drug delivery systems provide sustained release by retarding lung clearance mechanisms and efficient lung deposition to maintain therapeutic concentrations over prolonged time. Here, we use atomic layer deposition (ALD) to simultaneously tailor the release and aerosolization properties of inhaled drug particles without the need for lactose carrier. In particular, we deposit uniform nanoscale oxide ceramic films, such as Al2O3, TiO2, and SiO2, on micronized budesonide particles, a common active pharmaceutical ingredient for the treatment of respiratory diseases. In vitro dissolution and ex vivo isolated perfused rat lung tests demonstrate dramatically slowed release with increasing nanofilm thickness, regardless of the nature of the material. Ex situ transmission electron microscopy at various stages during dissolution unravels mostly intact nanofilms, suggesting that the release mechanism mainly involves the transport of dissolution media through the ALD films. Furthermore, in vitro aerosolization testing by fast screening impactor shows a ∼2-fold increase in fine particle fraction (FPF) for each ALD-coated budesonide formulation after 10 ALD process cycles, also applying very low patient inspiratory pressures. The higher FPFs after the ALD process are attributed to the reduction in the interparticle force arising from the ceramic surfaces, as evidenced by atomic force microscopy measurements. Finally, cell viability, cytokine release, and tissue morphology analyses verify a safe and efficacious use of ALD-coated budesonide particles at the cellular level. Therefore, surface nanoengineering by ALD is highly promising in providing the next generation of inhaled formulations with tailored characteristics of drug release and lung deposition, thereby enhancing controlled pulmonary delivery opportunities.

Thursday, April 15, 2021

BENEQ P800 coating batches of 300 mm showerhead plates for advanced semiconductor wafer processing equipment

How it is done - Here a cool video of a BENEQ P800 coating 300 mm showerhead plates for some advanced semiconductor wafer processing equipment.




Wednesday, April 14, 2021

Kurt J Lesker installs an ALD150LE system at the University of Minnesota Nano Centre

Kurt J. Lesker Company installs an ALD150LE system at the University of Minnesota Nano Centre. a state-of-the-art facility for interdisciplinary research in nanoscience and applied nanotechnology. The team there will be using the system for the deposition of a range of different films such as alumina (Al2O3), hafnium oxide (HfO2), titanium dioxide (TiO2), and zirconium dioxide (ZrO2). Read more about the facility here: https://www.mnc.umn.edu/


The Kurt J Lesker ALD test engineer, Tom Yurechko, snapped this great pic of the recent installation of our ALD 150LE at the University of Minnesota Nano Centre.