Tuesday, November 17, 2020

Roll-To-Roll ALD to Thermally Stabilizing NCM Cathodes for Lithium Ion Batteries

Universities in USA, China and Taiwan have developed a roll-to-roll atomic layer deposition (R2R ALD) apparatus for growing uniform thin layers of TiO2 that can be used to passivate NCM lithium battery cathode material. At a roll line speed: 2–40 mm s–1 the deposition of the TiO2was investigated. 
  • The capacity retention of TiO2-coated porous electrodes is substantially improved compared to that of the pristine cathode material for high-temperature cycling. 
  • Electrochemical impedance spectroscopy confirms that the ALD-TiO2 coating suppresses the undesired side reactions initiated at the electrode/electrolyte interface, reduces charge transfer resistance, and ultimately facilitates the Li+ transport through the composite cathode nanostructure. 
  • The NCM cathode material enables high-temperature operation (>55 °C) with enhanced specific capacity, superior rate capability, excellent cyclability, and high coulombic efficiency within a wide potential window (3.0–4.35 V). 
  • The R2R ALD technique developed in this work paves the way for large-scale fabrication of ceramic-coated cathode sheets with a production rate reaching 2.4 m min–1 for a continuous coating operation.
The schematic diagram of R2R ALD system, consisted of four main parts: gas delivering unit, sample delivering unit, plasma reactor unit, and ALD shower array unit. Figure from supporting info: https://doi.org/10.1021/acsaem.0c01541


Reference:
Roll-To-Roll Atomic Layer Deposition of Titania Nanocoating on Thermally Stabilizing Lithium Nickel Cobalt Manganese Oxide Cathodes for Lithium Ion Batteries
ACS Appl. Energy Mater. 2020, XXXX, XXX, XXX-XXX
Publication Date:November 11, 2020


New ALD Valve by TLX

TLX Pewaukee, WI, USA has developed a two-position, three-way pilot valve with performance tailored for ALD applications, including ultra-fast response, high operating temperature and compact design. According to TLX, the component used minimal power, making it more cost-effective than competing designs.

About TLX: TLX Technologies was founded in 1996 to bring to market several unique, high-speed digital valves for controlling the inflation of a vehicle air bag. During the succeeding years, TLX adapted this technology to many other markets and applications.

More information: TLX Web page LINK





AICHE 2020: Machine Learning-Based Modeling and Operation of PEALD of HfO2 Thin-Films

Here some insights from a presentation at AICHE 2020 by Yichi Zhang at UCLA entitled Machine Learning-Based Modeling and Operation of PEALD of HfO2 Thin-Films. The modelling is based on a 300 mm ASM Emerald PEALD chamber.



Forge Nano and Argonne improve yield in propylene manufacturing by ALD coating

Propylene, a precursor for commodity chemicals and plastics, is produced by propane dehydrogenation (PDH). In a PDH process, propane is selectively dehydrogenated to propylene. Production capacity via PDH is slated to grow rapidly over the next several years. The single feed/single product feature is one of the most attractive aspects of PDH, especially for propylene derivative producers looking to back-integrate for a secure and cost-effective source of propylene (IHS Markit Report LINK). 

Despite its simple chemistry, industrial implementation of PDH is very complicated owing to side reactions such as: 
  • deep dehydrogenation
  • hydrogenolysis
  • cracking
  • polymerization
  • coke formation.
According to a recent publication by Forge Nano and Argonne National Lab, an increase in PDH yield via added catalyst activity, lifetime, or selectivity represents significant energy and economic savings. 

The researchers has demonstrated that by using Pt dispersed on Al2O3 extrudate supports as a commercially relevant model system and by using atomic layer deposition (ALD) metal oxide overcoats, the metal-active sites can be tailored to increase PDH yield and selectivity. 

In the study they investigate the interplay of Pt loading, ALD overcoat thickness, and Al2O3 support surface area on PDH activity, selectivity, and catalyst stability. 

They were able to show that applying a 6–8 Å thick layer of Al2O3 on low-surface area Al2O3 supports of ∼90 m2/g surface area yields the optimal combination of stability and activity, while increasing propylene selectivity from 91 to 96%. Please find further details in the paper linked below.

Catalyst preparation method, Graphical abstract (https://doi.org/10.1021/acscatal.0c03391)


Atomic Layer Deposition Overcoating Improves Catalyst Selectivity and Longevity in Propane Dehydrogenation
Zheng Lu, Ryon W. Tracy, M. Leigh Abrams, Natalie L. Nicholls, Paul T. Barger, Tao Li, Peter C. Stair,
Arrelaine A. Dameron, Christopher P. Nicholas, and Christopher L. Marshall

ACS Catal. 2020, 10, XXX, 13957–13967
Publication Date:November 16, 2020

https://doi.org/10.1021/acscatal.0c03391

Monday, November 16, 2020

Dutch SALD and German Fraunhofer to develop Spatial ALD technology for production of 1,000 km range EV battery modules

Several reports state that Dutch SALD, a subsidiary of SoLayTec, and German Fraunhofer have jointly developed a Spatial ALD (SALD) technology for producing EV batteries that aim at 1,000 km range.
 
Not too many details are available at this point, please check SALD below for further details

SALD: LINK



From SALD Webpage: Li-ion batteries are indispensable for consumer electronics and electric vehicles, and it is vital that the safety, longevity and capacity of these batteries is maximized. Spatial ALD can assist in this. For common Li-ion batteries that comprise liquid electrolytes, ALD can be used to prepare the solid-electrolyte interphase (SEI). This artificial SEI - typically about a nanometer in thickness - protects the anode or cathode active materials (CAMs) from the electrolyte, enhancing the long-term stability and safety of the Li-ion battery.

IBM Research installs EUV tool at SUNY Poly for AI research

Albany Business Review reports that IBM Research has installed an new EUV tool at SUNY Poly in Albany, NY, USA. According to the report, the tool is part of the more than $2 billion investment from IBM Research at SUNY Poly and elsewhere to research and develop artificial intelligence technology, based on a statement given by Mukesh Khare, vice president of hybrid cloud research at IBM Research.

Full Story: LINK


SUNY Poly's Albany NanoTech Complex is the most advanced research facility of its kind at any university and includes a 300 mm Logic processing line

Friday, November 13, 2020

ALD for Industry goes digital – an interactive event with lecture program, communication, networking opportunities and after work meeting

4th Workshop, Tutorial – including digital Industrial Exhibition and Company Tour.

TOPICS:
♦ Semiconductor ♦ MEMS and Sensors ♦ Display ♦ Lightning ♦ Barriers ♦ Photovoltaics ♦ Battery ♦ Powder Coating ♦ Medical Applications ♦ Decorative Coatings

ALD for Industry provides the opportunity to get in contact with industrial and academic partners, to learn more about fundamentals of ALD technology and to get informed about recent progress in the field. The Event will focus on the current markets for ALD and addresses the applications in Semiconductor industry, MEMS & Sensors, Battery Technology, Medical, Display, Lightning, Barriers and Photovoltaics.

Web: LINK

DOWNLOAD




Tuesday, November 10, 2020

ALD and Nanotubes on Beneq ALD Stories

In Episode 3 of ALD Stories, we meet with Professor Jan Macak from the University of Pardubice. Hear and see his findings on ALD as an exceptional way to tailor the functionality of nanostructures, and the overlooked steps for making the perfect TiO2 nanotube.



Friday, November 6, 2020

Refreshing Material Advances for Logic, Memory, and Packaging5th CMC Conference "After-Hours" Available up to December 11

How to keep semiconductor fabs supplied with critical materials despite a pandemic and trade wars was discussed by >250 industry experts gathered in virtual space October 21-22 during the 5th annual Critical Materials Council (CMC) Conference. CMC Fab Members and Associate Supplier Members were joined by leading industry analysts, educators, and investors in discussing business and technology trends in the value-chain for advanced packaging, logic, and memory. The "after-hours" virtual conversations will continue through December 11th using the conference app and website, and new people can join in through November 16th.

"There were a lot good topics especially on materials challenges for leading edge technology and heterogeneous integration, global issues on material supplies, and emerging materials development," commented Dr. Lihong Cao, Director of Engineering and Technical Marketing at ASE, and Session 4 presenter.



Dr. Lauren Link of Intel discussed the need to find ways to integrate more front-end fabrication materials into packaging. The challenge is doing so in a cost-effective manner, without over-specifying materials and process requirements.

CMC-Oregon-Header

5th Annual CMC Conference "After-hours" Starting Now!

Didn't Catch the Conference "Live"? No Worries! Register Today and Get Access to the recordings, Connect attendees, Engage in Q&A!

Registration Open until November 16

Access Presentations thru December 12

Thursday, November 5, 2020

Improving Curved uOLED encapsulation with ALD

[Beneq Blog] For the past decades, organic light emitting diodes (OLEDs) have become of great interests for applications to micro-displays. Unfortunately, these systems are highly sensitive to moisture and oxygen ingress and require high barrier encapsulation. Additionally, a specific protection needs to be added to protect the device from mechanical failure. Depending on the application, various options from glass lids to flexible barriers have been developed. The former offers high mechanical protection but suffers from long implementation processes, while the later typically exhibit low hardness and poor wear resistance.

Pinhole free encapsulation via ALD deposited directly onto micro-OLEDs means simpler manufacturing and robust protection. The thinner ALD encasement enable substrate bending and open the possibility of more compact curved devices with less complex optical engines.

Continue reading at BENEQ: LINK

Wednesday, November 4, 2020

2020 November Networking - ALD at Aalto University, Finland

When : 25.11.–26.11.2020
Where: The event will be held in Zoom
Registration : Registration period: 21.10.2020 12:00 – 11.11.2020 12:00 

2020 ALD November Networking Event page

Register here! (Without presentation DL 22.11.)

At Aalto University, many research groups' activities have a connection to atomic layer deposition (ALD). Join our public webinar and local networking event on 25.-26.11.2020. Preliminary program in this link. Registration is free but required. 

Aim: Continuing the tradition started in 2019, provide a time and place where especially local people with interest in ALD can meet and get better networked.

Who should come: Researchers (doctoral, postdoctoral, other level) working with a connection to ALD, especially at Aalto University, and also beyond in Finland. Also company representatives welcome. In 2020, invited talks and two tutorials are organized as a Webinar, which is globally open for anyone interested to participate (registration required). 

Format: The event will be organized remotely via Zoom. The program is divided in two parts.

Part 1: Public webinar will comprise of (i) high-level international invited talks (Dr. Jonas Sundqvist, Dr. Tuomo Suntola, Dr. Angel Yanguas-Gil) and (ii) tutorials (Prof. Riikka Puurunen, Prof. Matti Putkonen).

Part 2: Local networking will consist of (iii) brief introduction to groups working on ALD in Finland (feel free to contact the responsible organizer to have your Finland-based group added in this introduction), (iv) posters with optional ~2 min pitch talks by doctoral candidates and others working on ALD in Finland; posters in individual Zoom rooms, and (v) other presentations such as brief local company and project introductions. 

Lecture capture: Presenters have the possibility to have their talk recorded with Zoom and shared through the Panopto system afterwards (live event; successful recording not guaranteed). After the event, the presenters will be asked for permission to share (no sharing/sharing within Aalto University/sharing openly with the link). No sharing is done without permission.  

Organizing committee:  Dr. Aitor Arandia Gutierrez, M.Sc. Milad Madadi, Prof. Riikka Puurunen (responsible organizer), Arja Tuohino-Chance, M.Sc. Emma Verkama, M.Sc. Jihong Yim. All from Aalto University, School of Chemical Engineering.

---
Information of the 2019 event, the first event in the series November Networking - ALD at Aalto University: https://blogs.aalto.fi/catprofopen/ald-networking-nov-2019/

JVST A | Special Collection Call for Papers on Atomic Layer Deposition and Atomic Layer Etching

The Journal of Vacuum Science and Technology A is soliciting research articles for publication in Special Topic Collections on Atomic Layer Deposition and Atomic Layer Etching. These special topic collections are planned in collaboration with ALD 2020 and the ALE 2020 Workshop, which were held virtually on June 29—July 1, 2020. 

Each year, in concert with the annual Atomic Layer Deposition (ALD) meeting and Atomic Layer Etching (ALE) Workshop, the Journal of Vacuum Science and Technology A publishes collections of articles covering the most recent developments and experimental studies in ALD and ALE. These ALD and ALE Special Topic Collections will include papers presented at ALD 2020 and the ALE 2020 Workshop, as well as other ALD and ALE research articles that were not presented at this conference but are submitted to the special collections. The Collections feature articles dedicated to the science and technology of atomic layer controlled deposition and etching.

Manuscript Deadline: November 18, 2020

Authors are encouraged to use the JVST article template. During submission, you will have an opportunity to tell us that your paper is a part of one of the Collections by choosing either the Special Topic or Conference Collection on “Atomic Layer Deposition (ALD)” or “Atomic Layer Etching (ALE).”


Sunday, November 1, 2020

ASM International CEO Benjamin Loh on Q3 2020 Results

(Seeking Alpha Transcriot: LINK): The wafer fab equipment, spending also remained robust in the first 9 months of the year. Looking at the market by segment, logic and foundry spending continues to be on track for a strong year. Investments in the most advanced 10-nanometer and below nodes continue to be the key driver in the logic/foundry segment. 

Our customers have been stepping up their leading edge manufacturing capacity in support of the wafer demand for multiyear growth drivers such as 5G, cloud computing, artificial intelligence and autonomous driving. 5G, just to take one example, is expected to drive renewed growth in the smartphone market and new apps. Enhanced capabilities will lead to higher semiconductor content as compared to the 4G smartphones. Demand in the coming years for faster and more energy-efficient chips to power these 5G smartphones is an important reason for customers in the foundry segment to invest in new leading edge manufacturing capacity. 


ASM CEO Benjamin Loh (photo credit asm.com)

We confirm our earlier forecast spending in the broader memory market in the second half will be higher than in the first half, mainly driven by an uptick in 3D NAND spending. Limited capacity over the last couple of years combined with expected growth in end markets such as smartphones brings the potential of a further improvement in supply/demand conditions.

This year, we are having good traction in our DRAM business, driven by the high-k application wins that we discussed last quarter. Our ambition in memory remains to substantially increase our served available market over time as we further step up our customer engagements in new applications. However, it is important to keep in mind the logic/foundry represents the largest part of our sales, and therefore will remain the most important driver for our business in the forthcoming periods. A strong area of growth this year has been the Chinese market for the broader wafer fab equipment market and for ASM. We benefited from the investments we made in recent years to strengthen our position in this market and from the first meaningful investment by some of the domestic players in the more advanced nodesp

ALD has already moved into the mainstream over the last years, we expect ALD to turn even more into a core technology that will help our industry stay of Moore's law. In the years to come, beyond 2021, we expect that ALD demand will substantially grow above the USD 1.5 billion market size that we have guided earlier. 

Increasing device complexity, new materials and ever thinner films with higher required conformality mean that conventional deposition will run out of steam and that ALD is going to take a bigger piece of the pie. Both in logic/foundry and in memory, important technology inflections will drive substantial increase in ALD requirements in the medium term.

Epi remains an attractive growth market, and we are strongly focused on adding new customers and driving our market share in the coming years. In vertical furnaces and PECVD, we continue our strategy to invest in targeted niche opportunities, which already drove decent additional top line growth for our company in the recent years. To conclude, prospects remain solid, and we are focused to ensure ASMI is going to benefit and stay on its growth path.

Full transcript with Q&A from analysts: LINK

Hamamatsu to release lead-free ALD-MCP Product in Spring 2021

Hamamatsu Photonics has developed a high performance MCP with low noise and high gain that contains no hazardous substances listed in the RoHS directive. Sample products will be available from October 1st, 2020.

By reviewing all materials starting from scratch and applying an ALD* (atomic layer deposition) technique, we succeeded in creating ALD-MCPs (microchannel plates) that ensure low noise and high signal multiplication (gain) without using materials containing lead. Eliminating lead is great step forward because its use is restricted in the RoHS directive issued by the European Union (EU) as a hazardous substance. The ALD-MCP is likely to replace currently used MCPs that contain lead and will serve as a high performance MCP useful for mass spectrometers and scanning electron microscopes (SEM). We will start supplying samples of ALD-MCP for evaluation from October 1st (Thu), 2020 aiming to sell them as commercial products next spring. 



The MCP is an electron multiplier for two-dimensionally detecting and multiplying input signals such as ions, and is used in mass spectrometers and SEM. Basically it is a thin plate or disc having a great many fine glass capillaries or channels with an inner diameter of about 10 micrometers (micrometer is one-millionth of a meter). The inner wall of each channel is processed to convert input signals into electrons and multiply them. The voltage applied across an MCP creates an electric field in each channel to accelerate the electrons so that they strike the inner wall of the channel several times while being multiplied by secondary emission, and the multiplied electrons are then output as a large signal.

More information (Hamamatsu) : LINK 

Plasma chemistry data and chemistry set optimisation approach for ALD/ALE modelling

Here is an interesting lecture on how to use QuantemolDB for ALD and ALE modelling for current and future experiments.

Take away from the lecture: 
  • Problems where simulations can help: 
    • How to increase radical density using process parameters like pressure, gas flow etc 
    • How to optimise surface to enhance presence of radicals 
  • Importance of having a minimal chemistry set but including all important species 
  • How to start with plasma chemistry design and modelling using www.quantemolDB.com website and free tools
This video was recorded for Workshop Plasma Enhanced Atomic Layer Etch/Atomic Layer Deposition at 73rd Annual Gaseous Electronics Virtual Conference, October 5–9, 2020; 




The conference link is here: https://meetings.aps.org/Meeting/GEC2... 

The slides can be downloaded here: http://www.quantemol.com/wordpress/wp... 

Sign up for www.quantemolDB.com to get started. The chemistry tutorial can be downloaded here: http://www.quantemol.com/wordpress/wp...

Friday, October 30, 2020

ATLANT 3D Nanosystems to deliver ALD for the MESOMORPH Project funded by the EU

ATLANT 3D Nanosystems has joined a European consortium of companies and R&D organizations as a part of the Horizon 2020 Factories of Future Mesomorph project. The goal of the project is to develop an all-in-one machine for hybrid microfabrication of next-generation microelectronics. The consortium is led by Prima Industrie S.p.A., and includes also University of Applied Sciences and Arts of Southern Switzerland, IRIS srl, Femtika, OPI Photonics, Morphica, University of Erlangen-Nuremberg, Politecnico di Torino, STMicroelectronics, Smolsys, Heliotis AG, MCH-TRONICS SAGL. 

The ATLANT 3D Nanosystems team will deliver one of the key parts of the hybrid system - the atomic layer advanced manufacturing unit. 

Further information: LINK



Thursday, October 29, 2020

Researchers develop new atomic layer deposition process using ultrasonic precursor evaporation

ALD community's creativity has not been saturated. A new Ultrasonic Atomization technology based ALD has been developed:

A new way to deposit thin layers onto a substrate material at near room temperatures has been invented at The University of Alabama in Huntsville (UAH), a part of the University of Alabama System. UAH postdoctoral research associate Dr. Moon Hyung Jang got the idea to use an ultrasonic atomization technology to evaporate chemicals used in atomic layer deposition (ALD) while shopping for a home humidifier.

The UAH scientists' ultrasound invention makes it possible to use a wide range of reactive chemicals that are thermally unstable and not suitable for direct heating. Ultrasonic atomization supplies low vapor pressure precursors because the evaporation of precursors was made through ultrasonic vibrating of the module.


While observing how a home humidifier works, Dr. Moonhyung Jang got the idea to use an ultrasonic atomization technology to evaporate chemicals used in atomic layer deposition and worked with Dr. Lei to develop and test the device. (Photo credit: Michael Mercier / UAH)

The process uses a piezo-electric ultrasonic transducer placed in a liquid chemical precursor. Once started, the transducer starts to vibrate a few hundred thousand times per second and generates a mist of the chemical precursor. The small liquid droplets in the mist are quickly evaporated in the gas manifold under vacuum and mild heat treatment, leaving behind an even coat of the deposition material.

Source: UAH LINK
----------
By Abhishekkumar Thakur

ASM International report strong quarterly results driven by continued logic/foundry demand

Strong quarterly results driven by continued logic/foundry demand and an exceptionally high gross margin.
  • New orders at €303 million were 2% above the level of last quarter and 4% above the level of last year.
  • Revenue for the third quarter 2020 was €315 million and decreased 8% compared to the previous quarter, half of that decrease is caused by exchange rate translation differences.
  • Gross profit margin was 49.9% in Q3 2020 compared to 48.3% in the previous quarter, driven by an exceptionally strong mix. Operating result was €84 million compared to €88 million in the previous quarter, mainly due to lower sales, partly compensated by a higher margin percentage and lower costs.
  • Normalized net earnings for the third quarter 2020 were lower at €61 million compared to €77 million in Q2 2020. Main reasons for the decline are the depreciation of the US dollar causing a translation result of €14 million negative as compared to the €6 million negative in Q2 and the €4 million lower result from investments.

“ASM continued to deliver strong results in Q3,” said Benjamin Loh, President and Chief Executive Officer of ASM International. “Supply chain and logistical conditions were largely back at normal levels during the quarter. In Q3, despite adverse exchange rate developments, we realized sales of €315 million which is at the high end of the guidance of €300-320 million and down 8% from the level in Q2 but still 16% above the level of Q3 last year. The sales level was again driven by continuous high demand in the logic/foundry segment. Our Q3 order intake, at €303 million, was slightly higher than our guidance of €280-300 million. Supported by again an exceptionally strong mix in the quarter, our gross margin increased to 49.9%.”

Outlook

For Q4, on a currency comparable level, we expect revenue of €330 - €350 million. Q4 bookings, on a currency comparable level, are expected to be in the range of €340 - €360 million. 
 

VLSI Research now expects WFE to increase by 11% in 2020, up from a forecast of +9% last July and a drop of 7% expected last March. For 2021, VLSI forecasts a further increase of 5% in WFE

Supported by a continued healthy market development in the second half we now expect the wafer fab equipment (WFE) market to grow with a high single to low double digit percentage in 2020. We expect to outgrow the WFE market in 2020.






Wednesday, October 28, 2020

Virtual tour of Helsinki ALD Lab - The coolest ALD Lab in the world!

HelsinkiALD group does research in the field of inorganic materials chemistry. In the video you will see how silicon substrates are prepared for atomic layer deposition, precursors are loaded into the ALD reactor, how a thin film deposited on the substrate looks like, and how film thickness is measured with an ellipsometer. You will also visit our electron microscopy facilities and the X-ray laboratory.



TechInsights Webinar: ALD/ALE Process in Commercially Available Memory Devices

2018 saw memory product manufacturers Samsung, Hynix, Toshiba and Micron introducing 64- or 72- stacked layer 3D-NAND devices, and move into 1x generation DRAM devices.

This presentation will examine some of the different structures we have seen through the evolution of these technologies, in particular the latest 3D-NAND and DRAM parts. We will also look at several historical applications of ALD/ALE technology that have been observed through reverse engineering. We will highlight the importance of ALD/ALE process in advanced logic devices. In many cases, the technology could not have advanced without the implementation of ALD technology.

Information and registration: LINK



Stanford and Samsung Display use solar panel tech to create new ultrahigh-res OLED display

Stanford University reports that by expanding on existing designs for electrodes of ultra-thin solar panels, Stanford researchers and collaborators in Korea have developed a new architecture for OLED – organic light-emitting diode – displays that could enable televisions, smartphones and virtual or augmented reality devices with resolutions of up to 10,000 pixels per inch (PPI). (For comparison, the resolutions of new smartphones are around 400 to 500 PPI.) 

The crucial innovation behind both the solar panel and the new OLED is a base layer of reflective metal with nanoscale (smaller than microscopic) corrugations, called an optical metasurface. The metasurface can manipulate the reflective properties of light and thereby allow the different colors to resonate in the pixels. These resonances are key to facilitating effective light extraction from the OLEDs. 


Illustration of the meta-OLED display and the underlying metaphotonic layer, which improves the overall brightness and color of the display while keeping it thin and energy efficient. (Image credit: Courtesy Samsung Advanced Institute of Technology) 

In lab tests, the researchers successfully produced miniature proof-of-concept pixels. Compared with color-filtered white-OLEDs (which are used in OLED televisions) these pixels had a higher color purity and a twofold increase in luminescence efficiency – a measure of how bright the screen is compared to how much energy it uses. They also allow for an ultrahigh pixel density of 10,000 pixels-per-inch.

Full Report: Stanford materials scientists borrow solar panel tech to create new ultrahigh-res OLED display LINK

Friday, October 16, 2020

ALD Stories by Beneq - Meet Professor Riikka Puurunen of Aalto University, Finland

ALD Stories by Beneq - We meet Professor Riikka Puurunen of Aalto University in Finland, and discuss the history of ALD and other topics close to her heart. 



You may also wish to check out the articles we mentioned on this episode: 

A Short History of Atomic Layer Deposition: Tuomo Suntola's Atomic Layer Epitaxy, Riikka Puurunen 

Thursday, October 15, 2020

Swedish NordAmps has developed InGaAs nanowire transistors with GAA high-k/metal gate

NordAmps in Lund, Sweden, has developed InGaAs nanowire transistors with GAA high-k /metal gate (HKMG) capable of the high frequencies required for 5G and 6G data transfer and logic data processing, with significantly lower energy consumption.

The structures are fully compatible with a standard 300 mm standard Si CMOS flow and require less mask steps compared with conventional technology.


NordAmps represents the convergence of research by global leaders in nanotechnology with leading edge application needs. 

Source: NordAmps LINK

 


 

 

Forge Nano incorporatesthe innovative US ALD company Sundew Technology

Forge Nano incorporates Sundew Technology. Improving speed, cost, and efficiency of nano coatings on wafers and objects.

[Press release, October 15, 2020 LINK] Nanotech company Forge Nano, incorporates cutting edge Sundew technology to their suite of nano-scale, surface engineering solutions.

The new line of industry leading tools offers high speed, cost effective, nano coatings for objects and wafers. Building upon success in the energy storage market, this additional product line is used to develop cutting edge technology in a number of applications, such as; RF Devices (MMICs), Displays, Lighting, Memory, Power Electronics, Medical Devices, Environmental Protection Barriers (nanolaminates) and many more. 


APOLLO uses millisecond response ALD manifold that integrates 10 patented Fast Pneumatic Valves (FPV) to deliver over 100 million trouble-free cycles of composite and nanolaminate ALD films. This manifold is the only ALD manifold that can switch composition every cycle without any throughput penalty (Forgenano.com).

Forge Nano will handle the Sales, Service and Manufacturing of all Sundew systems at their new state of the art Innovation lab near Denver, CO. With 16 commercial systems already in the field, Forge Nano is excited to serve customers around the world, and to expand the adoption of this exciting technology.

“Sundew developed and commercialized cost-effective, high efficiency ALD tools that combine breakthrough innovations to deliver substantial productivity improvements and superior performance. These ALD tools are up to 100x faster, up to 90% more efficient and produce >40x less waste than traditional ALD tools. They enable zero waste processes, faster deposition, thicker films, enhanced ability to deposit more difficult films, and substantially reduced cost and frequency of maintenance.” Ofer Sneh- President Sundew Technologies

“In order to solve big problems, industries are turning to nano solutions. We are excited to bring Sundew’s amazing technology into our portfolio. These additions broaden our ALD equipment capabilities which continue to lead the world in coating more surface area, faster, and more efficient than anyone on the globe. By leveraging our expertise in PALD and Sundew’s speed and efficiency breakthroughs we will continue to make nano coatings easier and cheaper than ever. The technologies of the future will be unlocked at the atomic level.” Dr. Paul Lichty– CEO Forge Nano.

The new systems; HELIOS, THEIA and APOLLO add to Forge Nano’s existing line of tools for powders, particles, and objects. These innovative solutions bring nano coatings for objects and wafers into an entirely new era of speed and efficiency.

The complete line of surface engineering solutions can be found at www.forgenano.com/products

Saturday, October 10, 2020

SPM is looking for Sales Engineers in Austria, Germany, and Italy

We are searching for a Sales Engineer in Austria, Germany, and one in Italy. If you have experience in Semiconductors please apply. 

Send us your CV and motivational letter to sales@spm.li 





Key Responsibilities: 
  • Maintaining and expanding business within the already established sales channels across Europe 
  • Care for the preservation of existing business ties 
  • Identify new markets, discover and explore further opportunities 
  • Build the businesses market position and maximize business growth 
  • Screening for innovations in the semiconductor industry  

Qualifications & Experience: 

  • Background in the semiconductor fab 
  • Technical education (physics, chemistry, electrical engineering) 
  • Sales background and experiences are advantages 
  • Experience in identifying new business opportunities 
  • Self-motivated, enthusiasm and people skills 
  • The joy of working with people in the field 
  • High level of presentation skills English language required, German for Austria or Germany and Italian for Italy

Tuesday, October 6, 2020

Imec demonstrates CNT pellicle utilization on EUV scanner

LEUVEN (Belgium, LINK) October 6, 2020 — Imec, a world-leading research and innovation hub in nanoelectronics and digital technologies, announced today promising results in extreme ultraviolet (EUV) reticle protection. Multiple CNT-based pellicles were mounted on reticles and exposed in the NXE:3300 EUV scanner at imec, demonstrating the successful fabrication and scanner handling of full-field CNT-based pellicles. The tested pellicles had a single-pass EUV transmission up to 97%. The impact on imaging was found to be low and correctable based on critical dimension (CD), dose, and transmission measurements.

A pellicle is a membrane used to protect the photomask from contamination during high-volume semiconductor manufacturing. It is mounted a few millimeters above the surface of the photomask so that if particles land on the pellicle, they will be too far out of focus to print. Developing such an EUV pellicle is very challenging, since 13.5nm light is absorbed by most materials. In addition, stringent thermal, chemical, and mechanical requirements must be achieved. Such highly transparent pellicle is critical to enable high yield and throughput in advanced semiconductor manufacturing. 

Imec demonstrates a CNT Pelicle (photo Imec.be)

Imec has leveraged partners in the semiconductor industry, materials companies and fundamental research to develop an innovative EUV pellicle design with potential to survive scanner powers beyond 600 Watts

“Imec has leveraged partners in the semiconductor industry, materials companies and fundamental research to develop an innovative EUV pellicle design with potential to survive scanner powers beyond 600 Watts,” said Emily Gallagher, principal member of technical staff at imec. “We have seen tremendous progress in carbon nanotube membrane development in the past year and, based on strong collaborations with our partners, are confident it will result in a high-performance pellicle solution in the near future.”

CNTs are one-atom-thick carbon sheets rolled into tubes. The CNTs can be single-, double- or multi-walled and can vary in diameter and in length. These engineered CNTs can be arranged in different configurations to form membranes of different densities. Since 2015, imec has been working with selected CNT suppliers (Canatu Oy and Lintec of America, Inc., Nano-Science & Technology Center) to develop membranes that meet the EUV pellicle targets for properties like transmittance, thermal durability, permeability, and strength and to enable the imaging results reported today. Future work will focus on achieving acceptable lifetimes for high volume manufacturing of these pellicles in scanners.

Heads up ALD-people! On Thursday the ALD Symposium at PRiME2020 start and it is FOR FREE!

This week, the Virtual PRiME 2020 Joint International Meeting will be held under the auspices of 

The Electrochemical Society (ECS)The Electrochemical Society of Japan (ECSJ), and The Korean Electrochemical Society (KECS).

Over 75% of the 3,300+ original abstracts, meant for presentation in Honolulu (Hawaii) this week, are available as digital presentations.

On the online program you can find all info on the presentation files (video, slides, and/or posters).

These can be viewed on-demand in open-access mode, from Oct. 4 – Nov. 9, 2020.

Attendance and participation in PRiME 2020 is FREE and OPEN TO ALL! Only your pre-registration is required.

This includes Symposium G02 that I co-organized: G02 - Atomic Layer Deposition Applications 16.

See the information below for some more general info on our ALD symposium, also on the live sessions on Oct. 7 and 8, Hawaii HST time (!))  

We were sponsored by




Picosun’s cluster ALD solutions enable next generation power electronics

ESPOO, Finland, 6th October 2020 – Picosun Group, the leading supplier of AGILE ALD® (Atomic Layer Deposition) thin film coating solutions for global industries, strengthens its position in power electronics market with several cluster ALD system sales to prominent manufacturers in Europe, USA and Asia.

“Power electronics is an important, fast growing market for Picosun. ALD has potential to solve various challenges manufacturers are facing in this field, and our solutions have enabled our customers to create significant added value in terms of device quality and throughput. At Picosun, we have developed several turn-key production ALD solutions specifically for 4-8 inch wafer markets such as power devices. Especially our cluster ALD systems, such as the PICOSUN® Morpher which we launched last year, have been extremely well received by our customers,” says Juhana Kostamo, Head of Customer Solutions/Deputy CEO of Picosun.

Power components are crucial in a wide range of applications from consumer electronics to transportation, energy production and distribution, including renewables such as wind and solar power generation. These components are typically manufactured on 4-8 inch compound semiconductor wafers such as GaN and SiC. These materials provide various benefits compared to pure silicon, for example higher electron mobility, higher threshold voltage, and ability to operate at higher temperatures. Challenges do exist, however, as GaN and SiC power devices are prone to high interface trap density (leading to parasitic currents and reduced electron mobility) and gate leakage current, and poor threshold voltage stability.

Interface trap density can be reduced by combining pre-cleaning methods with high permittivity, large bandgap insulators. High quality, defect-free high-k dielectric layers such as Al2O3, AlN or ZrO2 etc. are key in reducing power devices’ gate leakage current and to improve electron mobility and threshold voltage stability. A good example here are GaN-based HEMTs (high electron mobility transistors), which are important in various large scale practical applications, and which require efficient gate insulation and surface passivation to achieve optimal functionality.

ALD stands as a superior deposition method here compared to other thin film coating technologies such as PECVD, as ALD produces the most conformal, uniform, and defect-free films with accurate, digitally repeatable thickness control and sharp interfaces. With the right selection of ALD deposition equipment, even multilayer processing is possible i.e. various functional material layers and/or stacked films/nanolaminates can be manufactured in one process run.

PICOSUN® Morpher is a disruptive ALD production platform designed for up to 8 inch wafer industries such as power electronics, MEMS, sensors, LEDs, lasers, optics, and 5G components. Morpher’s operational agility makes the system adaptable to various and changing manufacturing needs, on all business verticals from corporate internal R&D to production and foundry manufacturing, where both the end products and/or customers’ requirements may change rapidly. Morpher can handle several substrate materials, batch and substrate sizes, and ALD materials with leading process quality. Multilayer deposition is possible, and cluster design allows integration of also other processing units such as pre-clean, RIE etc. for fully automated, high throughput continuous vacuum operation.

“In its versatility and transformability, Morpher is the epitome of our principle ‘Agile ALD’. Innovation, constant development and improvement of our ALD solutions to enable our customers’ success is our driving force at Picosun. This applies also to Morpher platform and we have some truly exciting additions to this product family coming in the near future,” summarizes Kostamo.

Friday, October 2, 2020

TANAKA Develops "TRuST" Precursor for CVD/ALD Processes; Using Liquid Ruthenium Precursor to Achieve World's Highest Vapor Pressures

TOKYO, Sep 30, 2020 - (ACN Newswire) - TANAKA Holdings Co., Ltd. (Head office: Chiyoda-ku, Tokyo; Representative Director & CEO: Koichiro Tanaka) announced today that TANAKA Kikinzoku Kogyo K.K. (Head office: Chiyoda-ku, Tokyo; Representative Director & CEO: Koichiro Tanaka), which operates the TANAKA Precious Metals manufacturing business, has developed a new liquid ruthenium precursor named "TRuST" for CVD[1] and ALD[2] processes. The vapor pressure of "TRuST" is more than 100 times higher as compared to that to previous liquid ruthenium (element symbol Ru) precursors[3], which is currently the world's highest vapor pressure value at room temperature as per TANAKA's internal evaluation.

"TRuST" precursor for CVD and ALD processes 
 
Comparison of vapor pressure of precursor
 

During this precursor development, TANAKA Kikinzoku Kogyo focused on material design and synthesis research, while Professor Soo-Hyun Kim, Ph.D., School of Materials Science and Engineering, College of Engineering at Yeungnam University in South Korea, researched to optimize the film formation characteristics.

Technologies developed through this collaboration will help increase performance and reduce energy consumption for the semiconductor devices used in smartphones, computers, and the data centers where demand is to grow well into the future.

Precursors are metal-organic compounds used in chemical vapor deposition (CVD), atomic layer deposition (ALD) and other processes to form thin metal films or metal wiring on substrates. CVD and ALD processes enable the formation of films with superior step coverage on various types of base substrate. These are useful methods for forming films as semiconductors become increasingly miniaturized, with increased structural complexity, and narrower wires with every new generation.

TANAKA Kikinzoku Kogyo has developed a range of precious metal precursors over the years, including ruthenium precursors. This time, computer simulation and other techniques were used to achieve miniaturization and optimization of the molecular structure. This resulted in the successful development of a precious metal compound in a liquid form, with high vapor pressure, and thermal stability suited for film formation, all of which are important characteristics for precursors. As a result, this compound has the world's highest vapor pressure over 100 times higher than that of previous liquid ruthenium precursors. The higher the vapor pressure of precursors and the smaller their molecular structure, the higher the possible concentration of precursor in the film formation chamber, and the greater the adsorption density of precursor molecules on the substrate surface. Compared to previous precursors, this achieves excellent step coverage and improved film formation speed.

According to research carried out at Yeungnam University, ALD film formation at approximately 1.7 angstrom (A) per cycle is possible, which is the world's fastest of its type using liquid ruthenium precursor. Also, specific resistivity after film formation is approximately 13 microhm-cm, which is close to the value of bulk ruthenium metal (7.6 microhm-cm).

When bulk ruthenium is used for vapor deposition, with a temperature as high as 3000 degrees C. However, if it is converted into an organometallic compound of ruthenium precursor, it can be vaporized at low temperatures (between room temperature to 200 degrees C) under vacuum. As a result, the formation of high-quality ruthenium film with excellent step coverage at high productivity is expected. This has been an issue in the past because it is technically difficult to achieve all these characteristics at the same time. With the development of "TRuST" however, it is now possible.

Samples of Shipments of "TRuST" should begin shipping samples are planned to start in October 2020.

Background and TANAKA Kikinzoku Kogyo's development of precursor for CVD/ALD processes

In the past, the most common wiring materials used for semiconductors were copper, tungsten and cobalt. However, as semiconductors have evolved, there are increasing expectations for the precious metal ruthenium to promote further miniaturization of semiconductors because of its lower resistance and higher durability. With its superior characteristics, ruthenium is also being considered for use in transistor gate electrodes and DRAM capacitor electrodes.

The progress of technologies such as IoT, AI, and 5G over recent years has led to rapidly increasing volumes of digital data used in clouds, smartphones, and computers. Therefore, the need for even greater miniaturization of semiconductors is increasing to enable the creation of devices with higher performance and lower energy consumption. As a specialized manufacturer of precious metals, TANAKA Kikinzoku Kogyo will continue contributing to this evolution of semiconductors through the development of high-quality semiconductor materials.

With the support of Professor Soo-Hyun Kim, from the College of Engineering at Yeungnam University, a researcher from TANAKA Kikinzoku Kogyo will give a presentation of this technology at the "International Interconnect Technology Conference (IITC) 2020", which will be held online from October 5-8, 2020. The title of the presentation is High-growth-rate atomic layer deposition of high-quality Ru Using a Novel Ru metalorganic precursor.

[1] Chemical vapor deposition (CVD): CVD is a chemical film formation method. It is a method of forming thin metal films on the surfaces of base materials or substrates through a chemical reaction induced and accelerated by directing energy, in forms such as heat, plasma and light, at gaseous vapor material fed into a reaction chamber at pressures between atmospheric pressures to medium vacuum pressures (100-10-1 Pa).
[2] Atomic layer deposition (ALD): Both CVD and ALD are film formation methods that use chemical reactions inside reaction chambers to deposit material onto substrates through the vapor phase. The main difference between the two methods is that while CVD continually forms atomic and molecular layers, ALD forms the layers intermittently one layer at a time.
[3] Precursors: Precursors are compounds used as the raw material for forming thin metal films and metal wiring on substrates.

Hello ALD! Here is a heads up for the 21-22 October Critical Materials Conference CMC2020 in Virtual Hillsboro, OR USA

Hello ALD! Here is a heads up for the 21-22 October Critical Materials Conference CMC2020 in Virtual Hillsboro, OR USA, co-hosted by Intel. The Emerging Materials Session III chaired by Nicolas Blasco (Air Liquide) and Steve Consiglio (Tokyo Electron) is full of ALD and ALE presentations.

During the conference and at "The not so usual Round Table Discussions," I will be chairing an ALD/CVD forum in our new WHOVA app (https://whova.com/)

Agenda: https://cmcfabs.org/agenda/


- Materials Requirements for Quantum Technologies, Satyavolu Papa Rao PhD NY Creates / SUNY Polytechnic Institute


- Materials Evolution & Challenges In ALD/EPI FEOL, Pamela Fischer PhD, ASM

 
- Selective Deposition for Advanced Patterning, Kandabara Tapily, PhD, TEL


- Selectors for Emerging Memories: How Are They "Materially" Different?, Milind Weling, EMD Performance Materials


- HfO2 Ferroelectrics for NVM and Piezo- and Pyro-sensing, Wenke Weinreich PhD, Fraunhofer IPMS-CNT Applications


- New Etching Gases for Advanced Memory Manufacturing, Ashutosh Misra, Electronics at Air Liquide


- ALD Precursor Developments for Mass Production of Future Semiconductor Devices, Akihiro Nishida, ADEKA


- ALD/CVD Precursor Applications & Market Trends, Terry Francis & Jonas Sundqvist PhD, TECHCET