Saturday, November 4, 2017

University of Maryland presented safer Lithium batteries manufactured by ALD at AVS 64 in Tampa, Florida

Researchers demonstrate a technique to fabricate safer and more compact batteries.

WASHINGTON, D.C., October 30, 2017 -- The lithium-ion batteries that commonly power mobile phones and laptops are ubiquitous and efficient. But they can occasionally explode -- as evidenced in the batteries used by Samsung's Galaxy Note 7, which the company recalled last year. 
 

Alex Pearse posing in front of what looks like a CNT Fiji PEALD reactor amongst other things | University of Maryland (Picture form ResearchGate)
 
Solid-state batteries, which eschew the flammable and unstable liquid electrolytes of conventional lithium-ion batteries, could be a safer option. Now, researchers have demonstrated a new way to produce more efficient solid-state batteries. This proof-of-principle study may lead to safer and more compact batteries useful for everything from sensor networks to implantable biomedical devices.

Alex Pearse, a doctoral student at the University of Maryland, College Park and the Nanostructures for Electrical Energy Storage, a DOE-sponsored Energy Frontier Research Center, will present this work during the AVS 64th International Symposium and Exhibition being held Oct. 29-Nov. 3, 2017, in Tampa, Florida. 
 
Source: The DOE Science News Source (LINK)
 
Full paper: Three Dimensional Solid State Lithium Ion Batteries Fabricated Via Conformal Vapor Phase Chemistry   (LINK)

 

Thursday, November 2, 2017

UPDATE: ALD Lab Saxony Symposium at SEMICON EUROPA

The ALD Lab Saxony Symposium is a yearly side event of the SEMICON Europe organized since 2012. The Symposium is intended to improve visibility of the Atomic Layer Deposition technique and to promote networking between academics, institutes and industry. The symposium gives an overview of various research and development topics as well as examples of ALD applications in R&D and manufacturing. 
 

Date: 14.11.2017
Place: SEMICON Europa, Conference Room A12

SESSION 1 – EQUIPMENT AND APPLICATIONS

10:00 Welcome, Prof. Bartha, TU Dresden (Germany)
10:20 ALD for Production, Dr. Christoph Hossbach, Picosun (Finland/Germany)
10:40 Fabrication of 3D microstructures from micron-sized powder using ALD and possible applications for MEMS”, Dr. Thomas Lisec, Fraunhofer ISIT (Germany)
11:00 ALD Coatings on Steel: Defect Density and Corrosion Protection, Tim Poljanšek, Robert Bosch GmbH (German)
11:20 Passivation, Capacitors or 3D-Structures – Outline the Possibilities of ALD, Dr. Dorothee Dietz, Fraunhofer IMS (Germany)
11:40 Antireflection Coatings by Atomic Layer Deposition, Dr. Kristin Pfeiffer, Fraunhofer IOF (Germany)

12:00 Lunch and Networking 

Vorspeisen: 
Salat Antipasti, Austernpilzen, Ruccolapesto, Chiabattabrot, Tomaten, Mozzarella, Balsamico und Basilicum

Haptgänge: 
- Meditiraner Kalbstafelspitz, Gemüse der Saison und Kartoffelgratin
- Tortellini Ricotta Spinat, Salbeibutter und Parmesan mit Mozarella

Dessert:
Panna Cotta, Schwarz-weiß und Fruchtspiegel

SESSION 2 – PRECURSORS, PRECURSOR DELIVERY, METROLOGY AND SIMULATIONS

13:00 ALD equipment and precursors for high volume manufacturing, Dr. Jonas Sundqvist, Fraunhofer IKTS (Germany)
13:20 Precursor supply chain support, Dr. Simon Rushworth, EpiValence (UK)
13:40 Electronics: a key market for Umicore – a key partner for the market,  Dr. Oliver Briel, Umicore (Germany)
14:00 Precursor systems delivery for ALD, n.n., SEMPA Systems GmbH (Germany)
14:20 ALD process monitoring with quartz crystal microbalances,  Dr. Martin Knaut, TU Dresden (Germany)
14:40 Title to be given, n.n., Veeco CNT (USA)
15:00 ALD process optimization using computational fluid dynamics,  Linda Jäckel, Fraunhofer ENAS (Germany) 
 
The syposium is organized and facilitated by:
 
ALD Lab Saxony: IHM TU-Dresden & Fraunhofer IKTS and BALD Enginering

ALD Ireland 2016 co-chairs Simon & Jonas wishing ALD2018 Jin-Seong & Hanjin all the best and a successful conference preparation!

Today Simon and Jonas met in Dresden to catch up and take a selfie with Fraunhofer and at the same time wishing ALD2018 Jin-Seong & Hanjin all the best and a successful conference preparation!
AVS ALD 2018 Incheon, South Korea, ly 29-Wednesday, August 1, 2018: https://ald2018.avs.org/

Wednesday, November 1, 2017

XPoint NVM Array Process Engineering & Teardown

By Ed Korczynski, Sr. Technical Editor: Now that TECHINSIGHTS has published a teardown of a 3D XPoint array, we have seen cross-section transmission electron micrographs (TEM) of the device. From first principles of process engineering, we can make educated guesses as to the process flows and challenges in creating this type of non-volatile memory (NVM) integrated circuit (IC). Evolution of device technology over more than fifteen years has resulted in cross-point arrays connecting precise stacks of chalcogenide materials. Intel with “Optane” and Micron with “QuantX” branded ICs can now claim success in commercializing what has always looked good in R&D but was notoriously difficult to make in high-volume manufacturing (HVM).

Figure 1 shows the TEM cross-section, parallel to the wordline direction, of a XPoint memory cell array taken from an Intel Optane product. There are two levels of cross-point cell-stacks, connected in the middle by bitlines (orthogonal to the wordlines). The upper- and lower-wordlines have been analyzed as tungsten (W) metal with tungsten-nitride (WN) barriers. The memory cell material is a variant on a germanium-antimony-teluride (GeSbTe or “GST”) chalcogenide glass, while the selector material is made with arsenic-silicon-germanium-selenide.


Fig. 1: Cross-section TEM of Intel XPoint NVM array in the wordline direction, showing two levels of memory cell stacks separated by bitline arrays. (Source: greyscale image by TechInsights, color commentary by Ed Korczynski)

Full article: LINK

BENEQ moving the wafer capacity of ALD equipment to the next level

Beneq Reports : Beneq is on a mission to introduce ALD to a whole new set of industrial applications. Earlier, we have talked about fast ALD, spatial ALD solutions and next generation ALD equipment that changes the standards of throughput for industrial ALD production. 
 

Next, our plan is to start a throughput revolution in ALD for the semiconductor industry.

Beneq already offers a whole range of services for industrial processing of wafers and automated batch wafer equipment for high volume manufacturing. Beneq also provides many spatial ALD solutions that are suitable for high volume manufacturing of wafer coatings in the semiconductor and MEMS industry.

But there is more to come. In Semicon Europa in November, we will introduce our latest new equipment for wafer ALD, with special focus on emerging ALD markets, such as Power and RF devices, MEMS and piezoelectric sensors and actuators, RF MEMS and image sensors, LED and OLED. Make sure to follow the news!

If you are planning to visit Semicon Europa, you should find the time to come and meet us (Hall B1, stand 1767). You can also visit our Semicon Europa event web page and book a meeting with our team.

We are looking forward to seeing you in Munich.

Save the date: 2nd HERALD.ECI Workshop in Barcelona

Following the HERALD.ECI network kick-off : June 14, 2017 in Linköping, Sweden, and the 1st HERALD.ECI Workshop on Career Development : August 28-29, 2017 in Ghent, Belgium 2nd HERALD.ECI Workshop with hands-on training for “bonding HERALD.ECIs from ideas to proposals”
organized by Mariona Coll, Maximilian Gebhard, and Marcel Junige

When? March 01-02, 2018 

Where?  ICMAB (Institut de Ciència de Materials de Barcelona) in Barcelona, Spain

Tentative program

The main objective of this 2nd HERALD.ECI Workshop with hands-on training is to create an ignition point for competitive proposals resulting in joint, EU-funded research projects under ECI (early career investigator) participation or leadership.
We want the trainees to
  • gain advanced ‘theoretical’ knowledge input on how to write competitive proposals & manage EU-funded projects from an EU funding expert & Horizon 2020 coach through an impulse talk plus interactive proposal clinics.
  • find excellent, perfectly matching collaboration/ project partners during a welcome mixer.
  • exchange experiences with an excellent (female) ALD expert and with an industry partner.
  • transfer the newly gained knowledge into advanced ‘practical’ skills by
    developing joint proposal ideas,
    transitioning specific ideas into concrete proposal drafts, and
    starting to write
    together in smaller, matched collaboration/ project groups.
  • go home with a raw outline and concrete idea description, i. e. the first step of an actual proposal.

Registration

More information will soon be available here: www.junige.de/herald-eci
Online registration will open early November 2017. The number of participants is limited to max. 25 HERALD.ECI network members.

Accommodation

We suggest accommodation for attendees at the VILA UNIVERSITARIA.
A contingent of 15 rooms is reserved until December 15, 2017.
To book your room there, please use the following .pdf form.
 

COST Travel Grants

COST Travel Grants are available upon request for 10 trainees from ITCs (inclusiveness target countries);
i. e. Bosnia-Herzegovina, Bulgaria, Cyprus, Czech Republic, Estonia, Croatia, Hungary, Lithuania, Latvia, Luxembourg, Malta, Montenegro, Poland, Portugal, Romania, Slovenia, Slovakia, the former Yugoslav Republic of Macedonia, Republic of Serbia and Turkey.

ECI (early career investigator)

Within HERALD, the ECI network aims to promote the next generation of young ALD scientists and help to establish themselves as research leaders in the ALD community.

This HERALD.ECI Workshop is supported by COST (European Cooperation in Science and Technology). COST is supported by the EU Framework Programme Horizon 2020.
COST Action MP1402 - HERALD
Hooking together European research in Atomic Layer Deposition

Beneq is coating of sugars by Atomic Layer Deposition

BENEQ reports coating of sugars by Atomic Layer Deposition : In this study, the influence of 55 nm TiO2 deposited by Atomic Layer Deposition at 37 °C on the appearance, watersolubility, and reactivity with yeast for three sugars (sucrose, fructose and xylitol) is investigated. Results show brighter appearance and a decrease in water solubility for coated sugars as compared to uncoated.

The ALD effect was investigatetd by adding a few drops of water (Picture by Beneq)

Beneq Research World: LINK






Monday, October 30, 2017

New high-throughput platform for studying TiO2 photocatalytic oxidation reactions

Researches from University of Helsinki  present a new high-throughput platform for studying titanium dioxide (TiO2) photocatalytic oxidation reactions.




Wednesday, October 25, 2017

Aixtron's sale of ALD/CVD Product Line to Eugene Technology in South Korea gets US approval

Aixtron SE (AIXG), announced Tuesday that the Committee on Foreign Investment in the United States or CFIUS has approved the sale of ALD and CVD memory product line. 
 
 
Eugene Technology CEO Eom Pyeong-yong (left) and Aixtron CEO Kim Schindelhauer pose for a photo holding their contract. (Picture credit: Pulse by Maeil Business Newspaper & mk.co.kr LINK)
 
The company noted that the investigation by the CFIUS of the sale of AIXTRON's ALD and CVD memory product line to Eugene Technology in South Korea resulted in a determination that there are no unresolved U.S. national security concerns from CFIUS' perspective.
 
Full report: LINK

Tuesday, October 24, 2017

Picosun’s ALD technology improves lifetime and reliability of electronic circuit boards

ESPOO, Finland, 24th October, 2017 – Picosun Oy, leading provider of advanced Atomic Layer Deposition (ALD) solutions for global industries, has invented an ALD-based method(*) with which the operational lifetime and reliability of printed circuit board assemblies (PCBA) can be efficiently improved.

Metal whisker formation and corrosion are some key factors that cause PCBA performance degradation over time. Metal whiskers are thin filaments or threads growing out from solders, components, and interconnects on the PCBA, and they can cause short-circuiting that leads to a system failure. This is particularly fatal in several sensitive applications such as medical, automotive, space, aviation, military, and industrial control electronics. 
Previously, lead has been added to solder alloys to prevent whisker formation, but the current environmental regulations prohibit its use. This is why novel methods, such as the ALD-based surface protection technique developed and now industrially enabled by Picosun are very much sought after amongst the electronics manufacturers. In Picosun’s approach, application-specifically tailored ALD nanolaminate forms a dense, conformal, and hermetic seal on the PCBA. This seal protects the PCBA components from the corrosion caused by moisture and impurities in the ambient air and blocks the metal whisker propagation. As ultra-thin films, ALD coatings have the additional benefit of allowing postprocessing of the PCBA, and they do not increase its mass or dimensions. Furthermore, as a gas-phased technique, ALD is gentle to the surface, it can be applied at moderate temperatures, and it is environmentally friendly.



“Our PCBA protection technology has gained lots of interest amongst our clients both in industries and in R&D, and many are eager to start applying it in their manufacturing processes. Electronic circuit board industry is a vast, global market, where we are happy to utilize our ALD knowhow to enable products of extended lifetime and improved safety and reliability. Our comprehensive selection of industrial ALD systems guarantees an optimal solution to every customer, allowing fast and economic processing of even large area PCBAs in just one process run,” states Juhana Kostamo, Managing Director of Picosun.
(*) Pat. pending

Monday, October 23, 2017

JVST A Special Issue: Synchrotron Radiation and Atomic Layer Deposition for Advanced Materials

JVST A is Soliciting Research Articles for Publication in a March/April 2018 Special Issue on Synchrotron Radiation and Atomic Layer Deposition for Advanced Materials.

LINK to Jornal : Here
Submission Deadline: November 15, 2017


Malgorzata Kot (Guest Editor) and Justyna Lobaza Image Credit:

This special issue is planned in collaboration with the E-MRS Fall Meeting 2017 to be held at Warsaw University of Technology in Poland, from September 18-21, 2017. The Special Issue will be dedicated to the science and technology of the use of synchrotron radiation to advance the state-of-the-knowledge in atomic layer deposition of materials. While many articles are expected to be based on material presented at the E-MRS Fall Meeting 2017, research articles that are on topic but were not presented at this meeting are also welcome: the special issue will be open to all articles on the science and technology of Synchrotron Radiation and Atomic Layer Deposition for Advanced Materials.

Sunday, October 22, 2017

Intel to present 10 nm Logic with 3rd gen FinFET and 2 level Cobalt interconnect

IEDM 2017 Announcement (LINK, Press kit): Intel researchers will present a 10nm logic technology platform with excellent transistor and interconnect performance and aggressive design-rule scaling. They demonstrated its versatility by building a 204Mb SRAM having three different types of memory cells: a high-density 0.0312µm2 cell, a low voltage 0.0367µm2 cell, and a high-performance 0.0441µm2 cell. The platform features 3rd-generation FinFETs fabricated with self-aligned quadruple patterning (SAQP) for critical layers, leading to a 7nm fin width at a 34nm pitch, and a 46nm fin height; a 5th-generation high-k metal gate; and 7th-generation strained silicon. There are 12 metal layers of interconnect, with cobalt wires in the lowest two layers that yield a 5-10x improvement in electromigration and a 2x reduction in via resistance. NMOS and PMOS current is 71% and 35% greater, respectively, compared to 14nm FinFET transistors. Metal stacks with four or six workfunctions enable operation at different threshold voltages, and novel self-aligned gate contacts over active gates are employed.

The graph on the left shows that the new platform maintains traditional scaling trends, while the photomicrograph on the right shows the platform’s 12-layer interconnect stack.


Reference: Paper 29.1, “A 10nm High Performance and Low-Power CMOS Technology Featuring 3rd-Generation FinFET Transistors, Self-Aligned Quad Patterning, Contact Over Active Gate and Cobalt Local Interconnects,” C. Auth et al, Intel

2017 IEEE International Electron Devices Meeting
December 2-6, 2017
Hilton San Francisco Union Square
333 O’Farrell Street
San Francisco, CA 94102

Thursday, October 19, 2017

Conductance measurements optimize atomic layer deposition automatically

As reported by nanotechweb.org: An in situ measurement technique that can monitor the process of atomic layer deposition (ALD) in real time has been developed by scientists in Germany. The researchers used changes in the conductance of layers over multiple ALD cycles to identify the different growth phases as they occurred, allowing undesired modes of deposition to be averted. The conductance signal was also used as the input to a genetic algorithm that could optimize the growth rate semi-automatically.

Wednesday, October 18, 2017

ALD FOR INDUSTRY, MARCH 21 – 22, 2018 – DRESDEN:

ALD FOR INDUSTRY, MARCH 21 – 22, 2018 – DRESDEN: Following the succes of ALD for Industry 2017 with 100 participants we will continue to organize this event. This time we have extended the Workshop with half a day to a full 2 day event and the ALD Exhhibition will also be open for the public! In addition, the part of the workshop will be a HERALD Event so please contact us for more details on that.

Conference Chairs: Jonas Sundqvist, Fraunhofer IKTS and Christoph Hossbach, Picosun Germany
Tutorial Chair: Colin Georgi, Fraunhofer ENAS

Committee: Bernd Hintze (invited speaker), Globalfoundries and Henry Bernhardt, Infineon


Background: A topical workshop with focus on industrialization and commercialization of ALD for current and emerging markets Atomic Layer Deposition (ALD) is used to deposit ultrathin and highly conformal thin films. ALD is unique in the sense that it employs sequential self-limiting surface reactions for growth in the monolayer thickness regime. 

ALD in Germany: According to market estimates the equipment market alone is currently at an annual revenue of US$ 1.5 - 1.7 billion (2017) and it is expected to double in the next 4- 5 years. In a European context ALD was invented independently twice in Europe (Russia & Finland) and since the last 15 years Germany has grown to become one of the strongest European markets for ALD in R&D, chemicals, equipment and end users. Here, Dresden and Saxony isa unique ALD hotspot due to a strong semiconductor and equipment industry.

The Event will focus on the current markets for ALD, besides the leading edge semiconductor industry, applications in MEMS and Sensors, Display, Lightning, Barriers and Photovoltaics will be addressed.

 
Sponsors (signed so far):

CONTACT:
Dr. Katrin Ferse
Europäische Forschungsgesellschaft Dünne Schichten e. V.
Gostritzer Str. 63, 01217 Dresden, Tel. +49 351 871 8374, Fax: +49 351 8718431
E-Mail: ferse@efds.org, web: www.efds.org
 
Dr. Jonas Sundqvist 

Fraunhofer IKTS, Dünnschicht-Technologien | Thin-Film Technologies, Winterbergstraße 28, 01277 Dresden, Germany
Telefon +49 351 2553 7693, Mobile +49 173 4394 239
E-Mail: jonas.sundqvist@ikts.fraunhofer.de
www.ikts.fraunhofer.de

 

CMC Conference Call for Papers - April 26-27 in Phoenix, AZ, USA

The Critical Materials Council (CMC) Conference Committee has issued a call for papers to be presented at the 2018 CMC Conference April 26-27 in Phoenix, AZ, USA. Following the annual members-only CMC meeting to be held earlier that week, the 2018 CMC Conference is open to the public. 
 


KEYNOTE: David Bloss, Vice President, Technology and Manufacturing Group Director, Lithography Technology Sourcing, Global Supply Management, Intel Corp.

Three sessions will cover the following themes:

I. Global supply-chain issues of economics and regulations,
II. Immediate challenges of materials & manufacturing, and
III. Emerging materials in R&D and pilot fabrication.

To submit a paper for consideration, please send a 1-page abstract by January 15, 2018 to cmcinfo@techcet.com.

Attendees will include industry experts handling supply-chains, business-development, R&D, and product management, as well as academics and analysts. CMC member companies will be attending this meeting, as it is an important part of their membership.

On behalf of the CMC Conference Committee,

Lita Shon-Roy, Jonas Sundqvist, Ph.D., Ed Korczynski

Monday, October 16, 2017

ALD 2018 July 29-Wednesday, August 1 Incheon, South Korea.

The AVS 18th International Conference on Atomic Layer Deposition (ALD 2018) featuring the 5th International Atomic Layer Etching Workshop (ALE 2018) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and now topics related to atomic layer etching. Since 2001, the ALD conference has been held alternately in the United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. This year, the ALD conference will again incorporate the Atomic Layer Etching 2018 Workshop (ALE 2018), so that attendees can interact freely. The conference will take place Sunday, July 29-Wednesday, August 1, 2018, at the Songdo Convensia in Incheon, South Korea.
 
Link to Conference page: https://ald2018.avs.org/ 
 
 

TU Eindhoven present LEGO stop motion movie of a novel area-selective ALD approach


Please find the original publication in the Atomic Limits Blog (LINK) as well as the Open Source publication below.

A. Mameli, M. J. M. Merkx, B. Karasulu, F. Roozeboom, W. M. M. Kessels, and A. J. M. Mackus, Area-selective atomic layer deposition of SiO2 using acetylacetone as a chemoselective inhibitor in an ABC-type cycle, ACS Nano 11, 9303 (2017), DOI: 10.1021/acsnano.7b04701

Saturday, October 7, 2017

ALD for coat of minitablets for effective taste masking

Atomic layer deposition—A novel method for the ultrathin coating of minitablets

International Journal of Pharmaceutics, Volume 531, Issue 1, 5 October 2017


(Picture from graphical abstract)


Abstract

We introduce atomic layer deposition (ALD) as a novel method for the ultrathin coating (nanolayering) of minitablets. The effects of ALD coating on the tablet characteristics and taste masking were investigated and compared with the established coating method. Minitablets containing bitter tasting denatonium benzoate were coated by ALD using three different TiO2 nanolayer thicknesses (number of deposition cycles). The established coating of minitablets was performed in a laboratory-scale fluidized-bed apparatus using four concentration levels of aqueous Eudragit® E coating polymer. The coated minitablets were studied with respect to the surface morphology, taste masking capacity, in vitro disintegration anddissolution, mechanical properties, and uniformity of content. The ALD thin coating resulted in minimal increase in the dimensions and weight of minitablets in comparison to original tablet cores. Surprisingly, ALD coating with TiO2 nanolayers decreased the mechanical strength, and accelerated the in vitro disintegration of minitablets. Unlike previous studies, the studied levels of TiO2 nanolayers on tablets were also inadequate for effective taste masking. In summary, ALD permits a simple and rapid method for the ultrathin coating (nanolayering) of minitablets, and provides nanoscale-range TiO2 coatings on porous minitablets. More research, however, is needed to clarify its potential in tablet taste masking applications.

Monday, October 2, 2017

The Korea Research Institute of Chemical Technology develops ALD MoS2 catalyst for hydrogen fuel

The Korea Research Institute of Chemical Technology (KRICT) has developed a core electro-catalyst design technology that can significantly improve electrochemical fuel reaction which produces hydrogen fuel.

The research team led by Dr. Kim Hyung-joo from CO2 energy vector research division at the KRICT announced on September 27 that it succeeded in developing the technology that can activate fuel reaction by changing the surface of molybdenum disulfide (MoS2) which is cheaper than white gold.

Source: BusinessKorea LINK

The world´s leading foundry selects Nova VeraFlex III XF XPS for critical Logic ALD process monitoring

REHOVOT, Israel: Nova (Nasdaq: NVMI), a leading innovator and a key provider of metrology solutions for advanced process control used in semiconductor manufacturing, announced today that its most advanced XPS platform was selected by the world's leading Foundry for inline applications to be deployed in its advanced technology nodes. Revenue from this order is expected to be recognized during the third quarter of 2017.
The VeraFlex III XF combines enhanced XPS capability with a unique low energy XRF (LE-XRF) channel to address the metrology challenges of 20nm nodes and beyond. The VeraFlex III XF is the third generation of the globally adopted VeraFlex series of XPS production systems. With technology enhancements that improve performance on current inline logic and memory film applications, the VeraFlex III XF provides solutions for emerging applications in FinFET HKMG, interconnect processes, and advanced memories. (http://www.novameasuring.com/veraflex3xf.html)

The VeraFlex III XF is the latest generation of the VF XPS series, which offers superior sensitivity to sub-angstrom thickness and composition characterization used for monitoring critical processes such as atomic layer deposition (ALD) at the most advanced Logic nodes.


Full story : LINK 

Here's a link to the original PR:

http://ir.novameasuring.com/press_releases/novas-advanced-xps-solution-selected-by-the-worlds-leading-foundry/

NCD signed the large-scale contract to supply ALD equipment to Chinese DMEGC for high efficiency solar cells

NCD has just received the large-scale contract with Chinese DMEGC to supply up to 400MW solar cell manufacturing ALD equipment. This mass production equipment is several Lucida (TM) GS1600 ALD and Automation Systems to produce high efficiency crystalline solar cells with depositing fast high quality Al2O3 ALD on Si wafers. It is repeat order of the same system supplied in the production line in 2016 because the customer has been satisfied with the excellence of the system, that is, NCD’s technology and competitiveness are confirmed by the Chinese customer again. NCD is discussing supply agreements with many other customers besides this contract so is looking forward to rapid sales growth in the near future. 


Lucida TM GS1600 ALD and Automation

Wednesday, September 27, 2017

AIXTRON provides novel deposition system to EPFL for 2D materials research

Leading Swiss university focuses on the development of next-generation semiconductors based on AIXTRON BM system

 
AIXTRON SE (FSE: AIXA), a worldwide leading provider of deposition equipment to the semiconductor industry, today announced that the École Polytechnique Fédérale de Lausanne (EPFL) in Lausanne (Switzerland) has purchased a BM NOVO system. This versatile tool which can produce virtually all variations of 2-dimensional materials (2D) required for emerging optoelectronic applications is dedicated to support the University’s research projects coordinated by Prof. Andras Kis and Prof. Aleksandra Radenovic.

AIXTRON’s BM NOVO system uses a unique combination of plasma-enhanced chemical vapor deposition (PECVD) technology and metal organic chemical vapor deposition (MOCVD) technology to enable the growth of high quality 2D materials such as transition metal dichalcogenides (TMDCs) e.g. molybdenum disulfide (MoS2) or tungsten diselenide (WSe2).

Source: Aixtron LINK

Picosun ships ALD tools to the metal coating industry

ESPOO, Finland, 27th September, 2017 – Picosun Oy, leading supplier of advanced Atomic Layer Deposition (ALD) thin film coating technology, reports of a new breakthrough and repeat sales into metal industries. Picosun’s large scale production ALD systems have been chosen for high volume manufacturing of functional coatings on steel products at various customer locations around the world.

 
The PICOSUN™ P-1000 ALD system is designed for batch coating of various 3D objects such as mechanical parts, glass or metal sheets, coins, jewelry, or medical implants.

Picosun has developed comprehensive ALD solutions for metal surface treatment already for years with its customers and collaboration partners, gaining unrivalled process and design know-how on the topic. With the production-optimized PICOSUN™ ALD equipment, large batches of three-dimensional items can be processed with highly uniform, dense and conformal coating quality down to every microscopic surface detail. Various industries have already selected Picosun’s ALD systems to manufacture e.g. bioactive coatings on metal-based medical implants, and anti-tarnish films to protect coins from discoloration.

Tuesday, September 26, 2017

The 6th ALD Lab Saxony Symposium at the SEMICON Europe 2017 in Munich, Germany

Please be invited to participate in the annual ALD Lab Saxony Symposium at SEMICON Europa on the 14th of November (10:00 to 15:00) in Munich Germany. The event is free of charge for all visitors to SEMICON Europa and we are very thankful for the sponsoring from Picosun Oy (Platiunum). TECHCET LLC (Gold) and Pegasus Chemicals (Silver).



Confirmed Presentations:

ALD Labs:
  • „Fabrication of 3D microstructures from micron-sized powder using ALD and possible applications for MEMS”, Dr. Thomas Lisec, Fraunhofer ISIT (Germany)
  • „Passivation, Capacitors or 3D-Structures – Outline the Possibilities of ALD”, Dr. Dorothee Dietz, Fraunhofer IMS (Germany)
  • „ALD equipment and precursors for high volume manufacturing”, Dr. Jonas Sundqvist, Fraunhofer IKTS (Germany) 
  • „In-situ metrology for ALD“ Dr. Martin Knaut, IHM TU Dresden (Germany)
  • „ALD process optimization using computational fluid dynamics” Linda Jäckel, Fraunhofer ENAS (Germany)
Industry:

  • „ALD for Production“, Dr. Christoph Hossbach, Picosun Oy (Finland)
  • „Electronics: a key market for Umicore - a key partner for the market” Oliver Briel, Umicore (Germany)
  • „Supply chain of critical materials fro the semiconductor industry”, Dr. Diane Scott, TECHCET LLC (USA)
  • „Precursor delivery systems for ALD & CVD”, Daniel Schlamm, SEMPA Systems GmbH (Germany)



Friday, September 22, 2017

High-k oxides by ALD Workshop Wroclaw, Poland, on March 7-10, 2018

In collaboration with the EU COST networking project HerALD, Polish Vacuum Society, Institute of Physics, Polish Academy of Sciences invites you to the next edition of the conference "High-k oxides by ALD", which will be held in Wroclaw, Poland, on March 7-10, 2018. New challenges offered by the application of ALD based high-k dielectric materials in nanotechnology will be discussed by more than 80 participants from industry, research institutes and universities.
 
 
The conference is continuation of NaMLab workshops - a stimulating European platform for application-oriented scientists to exchange ideas and discuss latest experimental results on process technology, new results in the field of ALD-grown dielectrics for solar cells, transparent conduction oxides (TCOs), and for silicon based micro- and nano-electronics. The 2018 edition is organized in Poland to increase integration between Eastern and Western Europe countries.
 
Important dates
In 2018 the Conference will take place in Wrocław on
March 7th - 10th (Wednesday evening to Saturday morning).

Early Regisration & payment deadline:
December 15th 2017

Regisration & Abstract submission deadline:
January 12th 2018

Merck sets up ALD/CVD Precursor R&D center in Taiwan

Merck has inaugurated its first IC materials application R&D center in Asia, which will be located in Kaohsiung, southern Taiwan. More than EUR2.8 million (US$3.35 million) will be invested in the facility. The center will be supporting Merck's local semiconductor customers in Taiwan, and clients in other Asian countries including Korea, Japan and China. The center will consist of two labs supporting the development of:
  • CVD/ALD materials for thin-film processes
  • conductive pastes for IC packaging applications
Merck currently has 660 employees stationed in Taiwan. The company has a global workforce of around 50,000 people.

Source: Digi Times (LINK)

Thursday, September 21, 2017

Intelligent design for smartwatches using ALD display

LUMINEQ reports: Watches are experiencing a retro-heritage boom as the Insta generation has turned its smartphone lens to classic timepieces. Remakes of classic '50s designs are taking advantage of the retro wave, and even haute horlogerie is getting hot with younger consumers moving into the fine timepiece market.

This year we've also seen a shift in the old-school luxury wristwatch world as LVMH and Montblanc joined TAG Heuer in the luxury smartwatch category. There's plenty of room for competition here, as many luxury watch companies have yet to join the smartwatch wagon. One reason for this hesitancy may be due to the perceived notion that you have to compromise on style when incorporating new technology with contemporary designs.


  Wrist watch with retro-design (beneq.com)

With Transparent Lumineq® TASEL® displays, you have the luxury of designing a smartwatch display without compromising on aesthetics.


More infiormation from Beneq/Lumineq:

Custom displays
Lumineq product pages