Wednesday, September 27, 2017

AIXTRON provides novel deposition system to EPFL for 2D materials research

Leading Swiss university focuses on the development of next-generation semiconductors based on AIXTRON BM system

 
AIXTRON SE (FSE: AIXA), a worldwide leading provider of deposition equipment to the semiconductor industry, today announced that the École Polytechnique Fédérale de Lausanne (EPFL) in Lausanne (Switzerland) has purchased a BM NOVO system. This versatile tool which can produce virtually all variations of 2-dimensional materials (2D) required for emerging optoelectronic applications is dedicated to support the University’s research projects coordinated by Prof. Andras Kis and Prof. Aleksandra Radenovic.

AIXTRON’s BM NOVO system uses a unique combination of plasma-enhanced chemical vapor deposition (PECVD) technology and metal organic chemical vapor deposition (MOCVD) technology to enable the growth of high quality 2D materials such as transition metal dichalcogenides (TMDCs) e.g. molybdenum disulfide (MoS2) or tungsten diselenide (WSe2).

Source: Aixtron LINK

Picosun ships ALD tools to the metal coating industry

ESPOO, Finland, 27th September, 2017 – Picosun Oy, leading supplier of advanced Atomic Layer Deposition (ALD) thin film coating technology, reports of a new breakthrough and repeat sales into metal industries. Picosun’s large scale production ALD systems have been chosen for high volume manufacturing of functional coatings on steel products at various customer locations around the world.

 
The PICOSUN™ P-1000 ALD system is designed for batch coating of various 3D objects such as mechanical parts, glass or metal sheets, coins, jewelry, or medical implants.

Picosun has developed comprehensive ALD solutions for metal surface treatment already for years with its customers and collaboration partners, gaining unrivalled process and design know-how on the topic. With the production-optimized PICOSUN™ ALD equipment, large batches of three-dimensional items can be processed with highly uniform, dense and conformal coating quality down to every microscopic surface detail. Various industries have already selected Picosun’s ALD systems to manufacture e.g. bioactive coatings on metal-based medical implants, and anti-tarnish films to protect coins from discoloration.

Tuesday, September 26, 2017

The 6th ALD Lab Saxony Symposium at the SEMICON Europe 2017 in Munich, Germany

Please be invited to participate in the annual ALD Lab Saxony Symposium at SEMICON Europa on the 14th of November (10:00 to 15:00) in Munich Germany. The event is free of charge for all visitors to SEMICON Europa and we are very thankful for the sponsoring from Picosun Oy (Platiunum). TECHCET LLC (Gold) and Pegasus Chemicals (Silver).



Confirmed Presentations:

ALD Labs:
  • „Fabrication of 3D microstructures from micron-sized powder using ALD and possible applications for MEMS”, Dr. Thomas Lisec, Fraunhofer ISIT (Germany)
  • „Passivation, Capacitors or 3D-Structures – Outline the Possibilities of ALD”, Dr. Dorothee Dietz, Fraunhofer IMS (Germany)
  • „ALD equipment and precursors for high volume manufacturing”, Dr. Jonas Sundqvist, Fraunhofer IKTS (Germany) 
  • „In-situ metrology for ALD“ Dr. Martin Knaut, IHM TU Dresden (Germany)
  • „ALD process optimization using computational fluid dynamics” Linda Jäckel, Fraunhofer ENAS (Germany)
Industry:

  • „ALD for Production“, Dr. Christoph Hossbach, Picosun Oy (Finland)
  • „Electronics: a key market for Umicore - a key partner for the market” Oliver Briel, Umicore (Germany)
  • „Supply chain of critical materials fro the semiconductor industry”, Dr. Diane Scott, TECHCET LLC (USA)
  • „Precursor delivery systems for ALD & CVD”, Daniel Schlamm, SEMPA Systems GmbH (Germany)



Friday, September 22, 2017

High-k oxides by ALD Workshop Wroclaw, Poland, on March 7-10, 2018

In collaboration with the EU COST networking project HerALD, Polish Vacuum Society, Institute of Physics, Polish Academy of Sciences invites you to the next edition of the conference "High-k oxides by ALD", which will be held in Wroclaw, Poland, on March 7-10, 2018. New challenges offered by the application of ALD based high-k dielectric materials in nanotechnology will be discussed by more than 80 participants from industry, research institutes and universities.
 
 
The conference is continuation of NaMLab workshops - a stimulating European platform for application-oriented scientists to exchange ideas and discuss latest experimental results on process technology, new results in the field of ALD-grown dielectrics for solar cells, transparent conduction oxides (TCOs), and for silicon based micro- and nano-electronics. The 2018 edition is organized in Poland to increase integration between Eastern and Western Europe countries.
 
Important dates
In 2018 the Conference will take place in Wrocław on
March 7th - 10th (Wednesday evening to Saturday morning).

Early Regisration & payment deadline:
December 15th 2017

Regisration & Abstract submission deadline:
January 12th 2018

Merck sets up ALD/CVD Precursor R&D center in Taiwan

Merck has inaugurated its first IC materials application R&D center in Asia, which will be located in Kaohsiung, southern Taiwan. More than EUR2.8 million (US$3.35 million) will be invested in the facility. The center will be supporting Merck's local semiconductor customers in Taiwan, and clients in other Asian countries including Korea, Japan and China. The center will consist of two labs supporting the development of:
  • CVD/ALD materials for thin-film processes
  • conductive pastes for IC packaging applications
Merck currently has 660 employees stationed in Taiwan. The company has a global workforce of around 50,000 people.

Source: Digi Times (LINK)

Thursday, September 21, 2017

Intelligent design for smartwatches using ALD display

LUMINEQ reports: Watches are experiencing a retro-heritage boom as the Insta generation has turned its smartphone lens to classic timepieces. Remakes of classic '50s designs are taking advantage of the retro wave, and even haute horlogerie is getting hot with younger consumers moving into the fine timepiece market.

This year we've also seen a shift in the old-school luxury wristwatch world as LVMH and Montblanc joined TAG Heuer in the luxury smartwatch category. There's plenty of room for competition here, as many luxury watch companies have yet to join the smartwatch wagon. One reason for this hesitancy may be due to the perceived notion that you have to compromise on style when incorporating new technology with contemporary designs.


  Wrist watch with retro-design (beneq.com)

With Transparent Lumineq® TASEL® displays, you have the luxury of designing a smartwatch display without compromising on aesthetics.


More infiormation from Beneq/Lumineq:

Custom displays
Lumineq product pages


UC Santa Cruz is building a Huge Astronomical ALD machine

UC Santa Cruz researchers is working with Structured Materials Industries to design and build an atomic layer deposition (ALD) system large enough to accommodate telescope mirrors. To be specific they will deposit a protective optical coating for large silver-based telescope mirrors by ALD.

Left: The summit of Mauna Kea is considered one of the world's most important astronomical viewing sites. The twin Keck telescopes are among the largest optical/near-infrared instruments currently in use around the world. Middle: The night sky and Keck Observatory laser for adaptive optics. Right: W. M. Keck Observatory at sunset (Wikipedia)

UC Santa Cruz Reports: The new system was delivered to Kobayashi's laboratory in July, 2017 and has performed well in initial testing. The researchers will use the system to demonstrate that it works for telescope mirrors and other large substrates and to continue perfecting the coatings. The system can accommodate a mirror up to 0.9 m in diameter, and there is no reason the design could not be scaled up to accommodate larger mirrors or mirror segments, Phillips says. The 10 m primary mirrors of the twin Keck Telescopes in Hawaii are composed of hexagonal segments 1.8 m across, and the mirror segments for the Thirty Meter Telescope (TMT) will be 1.4 m across.


The Astronomical ALD system will be used to deposit protective coatings on silver-based telescope mirrors (Picture from UC Santa Cruz).

Source: UC Santa Cruz (LINK)

Swedish-American glō Orders G5+ MOCVD System for Micro-LED Production

This summer Google announced that it has invested 15 million USD for a 13 percent stake in Glo, a Swedish Lund University spin-out focused on creating nanowire-based LED-displays for mobile phones and smart watches as well as AR- and VR-applications (LINK). 

Now Aixtron Reports: AIXTRON SE (FSE: AIXA), a worldwide leading provider of deposition equipment to the semiconductor industry, announced today that it has received an order for an AIX G5+ platform from Swedish-American company glō-USA, Inc. The group focuses on the commercialization of micro-LED (mLED) products based on their proprietary defect-free GaN nanowires technology. Such 3D structures enable the growth of mLEDs while maintaining the reliability of an inorganic material system. AIXTRON’s AIX G5+ Planetary Reactor® system was selected in the scope of glō’s strategic expansion and will be delivered with an 8x150 mm configuration in the course of Q4/2017.

Thursday, September 14, 2017

ALD Nano today announced Wayne Simmons as CEO

ALD Nano today announced that its Board of Directors has appointed Wayne Simmons as Chief Executive Officer effective September 2017. Dr. Simmons was also elected to the Board of Directors. He will replace Founding CEO, Mike Masterson, who will assume the role of Executive Chairman with an added advisory role for key markets and will continue to serve as Chairman of ALD Nano's Board. The company has also announced that Joe Spencer was elevated to Vice President of Engineering and that its employee base continues to expand with new hires in areas including engineering, science and business development.
“This is the right time for Wayne Simmons to become ALD Nano's next CEO. We've selected a successful leader at a time when ALD Nano’s global leadership in ALD on particles is growing in existing and new markets ,” said ALD Nano Chairman Mike Masterson. “I joined ALD Nano because I wanted to be a part of a company that is solving important challenges for advanced materials that are transforming industries," said Wayne Simmons. “This company has built over a decade of ALD expertise with all of its business and scientific founders still active. Together, additional expertise has been added over the years that creates a wealth of institutional ALD knowledge to deploy into commercial markets."
Full story and Source: ALD Nanosulution Newsletter LINK
About ALD Nano: Principally located in Broomfield CO, ALD NanoSolutions was spun out in 2001 from premier atomic layer deposition (ALD) laboratories at the University of Colorado to industrialize ALD applications. We use our patented Particle ALD TM technology to improve a number of products including battery and lighting materials. Through our efforts, we have engineered new processes and tools that we support and sell from lab to production scale. We are also integrating our Polymer ALD TM technology into an advanced manufacturing system to bring low cost barriers to the packaging industry. Our principle objective is to leverage our expertise to help our customers develop successful commercial products. We are a dynamic and flexible company with a long history of successful relationships with clients ranging from venture-backed startups to Fortune 50 corporations.

Wednesday, September 13, 2017

NCD supplied Full Automation for Lucida GS Series to Tongwei Solar

NCD has recently supplied Full Automation System to Chinese Tongwei Solar for solar cell manufacturing ALD equipment. This is Full Automation System including pitch changer to supply wafers automatically to Lucida GS ALD Series which had already installed in the customer site for high quality Al2O3 thin film deposition. The connection of ALD and Full Automation System to handle wafers automatically will make faster and more convenient ALD process.

In the future, the sales of Full Automation System along with ALD equipment will be increasing because most customers will need more productivity and more efficiency of work. 
Lucida™ GS Series + Automation

RWTH / Oxford Instruments Workshop on dry processing for Nanoelectronics and Micromechanics

Here is an excellent workshop at RWTH Aachen that I visited once organized by Oxford Instruments. Now this is a full 2 day event with a lot of interesting talks and tutorials on plasma processing including ALD offcourse.

Workshop on dry processing for Nanoelectronics and Micromechanics: deposition and etching 

by Oxford Instruments Plasma Technology and RWTH Aachen

LINK: http://www.oxfordplasma.de/data/workshop.htm

Friday, September 8, 2017

ALD Enabled Battery Materials, Methods and Products IP Roll-up by Forge Nano

Forge Nano is pleased to announce the completion of its Intellectual Property roll-up initiative for Atomic Layer Deposition (ALD) enabled battery materials, methods of manufacturing, and products.

Through a series of patent filings, acquisitions, and licenses, Forge Nano is pleased to offer its customers and partners a comprehensive IP portfolio to incorporate the benefits of ALD surface modification coatings into battery products for enhanced safety, lifetime and end-use performance. Forge Nano is currently accepting licensing offers for this portfolio, with the anticipation of closing on a first round of field-limited agreements by the end of 2017. 

The cornerstone of Forge Nano’s ALD-enabled battery materials IP protects lithium-containing cathode and anode materials with coatings of up to two nanometers in thickness (US 9,570,734):

Claim 1: An electrode comprising a plurality of particles having a diameter of maximally 60 μm, wherein the particles are coated with a protective layer having a uniform thickness of about 2 nm or less, wherein the protective layer of the particles is obtained by atomic layer deposition, and wherein the particles are lithium-containing particles.

Friday, September 1, 2017

Sentech supports the German FMD initiative in Adlershof Berlin with Etch and ALD technology

With the handover of the grant approvals to Fraunhofer and Leibniz, the Federal Ministry of Education and Research (BMBF) launched on April 6, 2017 the first research fab operating throughout Germany.
Most of the planned funds have been desicated for the semiconductor cluster Silicon Saxony in the State of Saxony (101 M EUR) and around Berlin (117 M EUR), see below. The former East Germany is where most high volume semiconductor prodcution (Infineon, Globalfoundries, XFab) and leading edge research and development takes place in Germany.  Just recently Bosch Sensortech also announced they are heading to Dresden in Saxony fwhen they take the step to MEMS production on 300 mm wafers. However, considerable founds are to be invested across Germany in a typical German decentralized Federal way.

Now researchers and companies in Adlershof outside Berlin are pushing forward joint development in microelectronics. Above all, the Ferdinand-Braun Institute, Leibniz Institute for High-Frequency Technology, conducting application-oriented research. As part of the recently launched "Forschungsfabrik Mikroelektronik Deutschland (FMD)", the institute is enganging additional experts for furture technologies at the Wista site.




Dr. Irina Kärkkänen and her fellow Sentech plasma application engineers and the Sentech President Albrecht Krüger at the nearby Sentech applications lab. 

"The FMD is a unique chance for the German and European semiconductor and electronics industries to strengthen it internationally", says Prof. Günther Tränkle, Director of the Ferdinand Braun Institute, Leibniz Institute for High Frequency Technology (FBH). "German research is already very strong in this area, but a common structure has been missing." Now this is changing: Together with 12 other research institutes and a total of more than 2,000 scientific staff, the Adlershofer Institute is one of the world's largest pools for technologies around Smart Systems. The Federal Ministry of Education and Research is supporting the FMD with around 350 million euros, of which 117.2 million euros will flow to Berlin and Brandenburg, whereby the two Leibniz and Fraunhofer institutes will modernize and complement their technological infrastructure.

The equipment from Sentech for the FMD consists of three modules, two of which are reactive ion etching cambers, that are used to etch very fine structures in wafer based production. In addition, the system can be used to deposit thin three-dimensional layers on by atomic layer deposition. A technique that the FBH could not access previously. "Microoptics and optoelectronics are an important future field," says Krüger, "so the FMD is of great importance for the companies and institutes in Adlershof." Krüger is convinced that: "From here, important impulses for the technology will emerge."

Original full article in German : LINK
More inforamtion on FMD : LINK

SPTS etch deposition and thermal equipment for semiconductor industry

You learn something everyday - here is an interesting blog on MEMS wafer level packaging are silicon capping and thin film encapsulation, each providing benefits for specific packaging applications by SPTS.

SPTS etch deposition and thermal equipment for semiconductor industry: SPTS etch deposition and thermal equipment for semiconductor industry.

Schematic illustration of capping wafer bonded to MEMS wafer (Picture SPTS)

A computational study of hafnia-based ferroelectric memories

The discovery of ferroelectric properties of binary oxides more than 10 years ago by researchers in Dresden, Germany has boosted the interest in ferroelectrics and bridged the scaling gap between the state-of-the-art semiconductor technology and ferroelectric memories. NaMLab, a subsidy to TU Dresden and a startup company FCM, have devoted substantial resources in understanding the hafnia based ferrolectric materials in detail and here is one of their latest publication seeking to find a correlation between the performance of the memory device and underlying physical mechanisms. 

A computational study of hafnia-based ferroelectric memories: from ab initio via physical modeling to circuit models of ferroelectric device

Milan Pešić, Christopher Künneth, Michael Hoffmann, Halid Mulaosmanovic, Stefan Müller, Evelyn T. Breyer, Uwe Schroeder, Alfred Kersch, Thomas Mikolajick, Stefan Slesazeck

S.I.: Computational Electronics of Emerging Memory Elements (LINK)



In a TiN/FE:HfO2/TiN based ferroelectric cell, charge trapping influences the electric field here the polarization response and corresponding domain pinning due to the charge trapping of a woken-up (left) and a fatigued stack (right) is shown. (Picture: NaMLab gGmbH SlideShare LINK). 

From a memory materials, process and precursor point of view it is interesting to follow the high-k material development starting with hafnia based DRAM at 90 nm in 2004 then moving to zirconia based below 65 nm and remaining strong since then and now there is an obvious opportunity for hafnia to come make a comeback in the future as a ferroelectric non-volatile memory technology. 

Recently I entertained myself by mapping the high-k IP that has been field segmented according to their elements. Here it is striking to see that there is almost no filing in the old school strontium, barium and PZT based ferroelectrics or "super high-k´s" nowadays as compares to in the nineties (see below, as presented at the CMC Conference 2017 in Dallas, USA).

Obviously hafnia also is heavily researcher due to its use as a gate dielectric in CMOS Logic and many high-k´s are also interesting in other types of memory cells like RRAM.


Thursday, August 31, 2017

The 6th ALD Lab Saxony Symposium at the SEMICON Europa 2017 in Munich, Germany

As usual ALD Lab Saxony is organizing an ALD Symposium at SEMICON Europa. This time we are back in Munich and for the first time co-located with Productronica which may open new exsiting oppertunities for ALD!

Confirmed speakers from: Picosun Oy, Fraunhofer IMS Fraunhofer IKTS, and TECHCET LLC

More iformation will be available momentairly and the event is open for sponsoring

Please contact Jonas Sundqvist for more information: jonas.sundqvist@ikts.fraunhofer.de




Picosun reports repeat sales of ALD 200 mm Batch tools to major Asian discrete device manufacturers

ESPOO, Finland, 31st August, 2017 – Picosun Oy, leading supplier of high-quality Atomic Layer Deposition (ALD) solutions for industrial production, reports of repeat sales of automated P-300BV vacuum batch production systems to major Asian discrete device manufacturers. 
 
The PICOSUN™ P-300BV ALD system is specially designed for production of LEDs, discrete devices, and MEMS devices such as print heads, sensors, and microphones. (picosun.com)
 
Even if the 300 mm wafer size is the current state-of-the-art in many key semiconductor applications, and efforts have been made to increase this size even to 450 mm, the 200 mm market is very much alive and showing no signs of tailing off. One main factor supporting this steadfast longevity is the increasing usage of substrate materials such as GaN, SiC, and sapphire, where the wafer size is limited to 200 mm, or even smaller. These substrates have many benefits over traditional silicon and they allow manufacturing of components that are central in several technologies affecting our everyday life and the modern society. Examples are LED lighting, car and transport automation, power conversion, wireless communication and remote sensing. A prime example of the last two is the rapidly spreading Internet-of-Things with the billions of sensors forming its core. All of these applications ensure that the demand for 200 mm semiconductor production equipment stays at constant high and even increases.

Wednesday, August 30, 2017

Webinar - ALD for 2D materials

Oxford Instruments is running a webinar on ALD for 2D materials & devices on 14 September, 3:30pm (UK time). The webinar will comprise of two talks, with a Q&A session at the end:
  • Atomic Layer Deposition for Graphene devices by Dr Daniel Neumaier, AMO GmbH
  • Atomic Layer Deposition on and of 2D materials by Dr Harm Knoops, Oxford Instruments
If you would like to register please visit www.oxinst.com/ALD2D


Tuesday, August 29, 2017

Beneq is recruiting to expand their talent pool for ALD Equipment, Coating and Display

Beneq’s history is an exciting blend of Finnish industry history, high technology expertise, international business and nanocoatings. Last year was a year of strategic transformation at Beneq and now they are all set for the next growth phase. Beneq has ambitious development plans in both our business units – Thin Film Solutions and Lumineq Displays – and are now starting a large recruitment campaign for building the talent pool they will need to realize our growth plan.


Beneq is recruiting to expand their talent pool - In their Thin Film Solutions business unit, they are currently looking for professionals to work with our thin film equipment as:
  • SW / Automation Engineers having programming skills in PLC and HMI as well as understanding of semiconductor communication protocols (SECS/GEM)
  • Electrical Engineers with proven track record from electrical system design and solid background in using e-Plan and Autocad
  • Mechanical Engineers demonstrating strong mechanical engineering competences and working experience from equipment manufacturing industry
  • Field Service Engineers for testing and commissioning of equipment and supporting our Customer Services team with upgrade and service projects
  • Customer Project Managers with experience of equipment manufacturing industry and proven track record of managing customer delivery projects.
Beneq are also searching a business-driven
  • Head of Coating Services to develop, grow and manage the Coating Services business. The Coating Services unit is one of our growth engines, offering advanced outsourcing services based on Beneq’s atomic layer deposition (ALD) thin film technologies.
The Lumineq Displays business unit is especially looking for
  • Chief Design Engineer to lead a team of Electronics & SW professionals: an experienced line manager and a professional designer of embedded systems
  • Application Engineer with solid SW design background
  • Lay-out designer for photolithography mask designing: competent in using AutoCAD (2D).

For Operations function, Beneq is currently looking for:
  • Technical Buyer to manage, coordinate and perform procurement of designated set of commodities, product, project and service groups and suppliers to support all businesses of Beneq.

Apply now and make Finnish high-tech history!

If you want to join us in making Finnish high-tech history, please apply here and send us your open application and CV. In your application, we would especially like to hear what inspired you to apply and what you believe you would bring to the company. Please also specify which position you are interested in.

More information:

www.beneq.com
www.lumineq.com
Eeva Lehtiö, Manager, Human Resources, +358 9 7599 530, careers@beneq.com

Monday, August 28, 2017

German research cluster reduce the cost for PECVD PERC solar cell passivation

The efficiency of solar cells can be increased by the PERC technology (Passivated Emitter Rear Cell). The current yield increases because the back of the solar cell is passivated. However, additional process steps are needed for the production process adding to the over all production cost.
 

Circular Inductively Coupled Plasma (ICP) Calculated electron energy distribution for circular ICP plasma Induced electric field strength calculated from ICP coil current (Picture from SIMPLEX) 
 
Most commonly used passivation layers are aluminum oxide (Al2O3) or silicon nitride (SiNx) and until now Atomic Layer Deposition (ALD) has been able to produce th highest layer quality enable the use of thinner layer thickness and hence also save material cost (TMA precursor).

The German Federal research project Simplex has succeeded in significantly improving the production process of highly efficient PERC solar cells on the basis of plasma-assisted chemical vapor deposition (PECVD), while at the same time potentiall reducing the costs.

"For the industrial plasma coating process, the thickness of the most important layer for the PERC cell could be successfully reduced to a quarter without lowering cell efficiency," said Coordinator Dr. Bernhard Cord of the company Singulus Technologies AG

For example, PERC solar cells with 4 nanometer (nm) thick aluminum oxide layers could be produced instead of the current 20 to 30 nm and an efficiency of 21.0 percent.

More information belwo (in German):

German funding project Simplex : LINK
News article (SolarServer) : LINK 
Cluster partners

SINGULUS TECHNOLOGIES AG, Kahl am Main (coordinator)
Fraunhofer-Institut für Solare Energiesysteme (ISE), Freiburg
Fraunhofer-Institut für Schicht- und Oberflächentechnik (IST), Braunschweig
Plasmetrex GmbH, Berlin
Plasus GmbH, Kissing
SENTECH Instruments GmbH, Berlin
TRUMPF Hüttinger GmbH + Co. KG, Freiburg  

Tuesday, August 22, 2017

Woah - Hafnium oxide as gate dielectric scales also in the 2D world

Hafnium oxide high-k dielectrics deposited by atomic layer deposition have been used in DRAM since 2004 (Samsung 90 nm) and 2007 in high performance CMOS logic (Intel 45 nm). Later the DRAM high-k dielectric was replaced by a zirconium oxide based material but for logic hafnium oxide has remained the material of choice for the high-k metal gate stack by toping off the native oxide of silicon with its higher k-value. Hafnium oxide even survived the transition to narrow 3D FinFET devices and is also the main contender for silicon based Nano Wire FETs. However, recent research in alternative 2D channel materials such as graphene, molybdenum disulfide and others has created a totally new situation where hafnium oxide finds it difficult to compete as the material of choice for the gate stack dielectric. 

Until now that is, because just recently some clever researchers at Stanford has presented an new all hafnium channel and dielectric combo using hafnium diselenide and the natural native oxide of that - ta da - hafnium oxide. Apparently the zirconium version is also brought into play but let us see about that...

You can read all about it in this online article published by Stanford, which also leads you to the original scientific references and journal publications.

New ultrathin semiconductor materials exceed some of silicon’s ‘secret’ powers, Stanford engineers find

The next generation of feature-filled and energy-efficient electronics will require computer chips just a few atoms thick. For all its positive attributes, trusty silicon can’t take us to these ultrathin extremes.

Now, electrical engineers at Stanford have identified two semiconductors – hafnium diselenide and zirconium diselenide – that share or even exceed some of silicon’s desirable traits, starting with the fact that all three materials can “rust.”



TEM cross-section of an experimental chip, the bands of black and white reveal alternating layers of hafnium diselenide – an ultrathin semiconductor material – and the hafnium dioxide insulator. (Image credit: Michal Mleczko)

Alkane Resources reports that zirconium oxychloride (ZOC) prices are up 40% since January 2017

Alkane Resources reports that zirconium oxychloride (ZOC) prices up 40% since January 2017, which are the highest prices for 4-5 years.

ZOC pricing hike during 2017 (Alkane Resources, Twitter). Alkane is developing the Dubbo Project in NSW Australia, based on a large in-ground resource of zirconium, hafnium, niobium and rare earths, with operations scheduled for 2018.


In contrast to hafnium, zirconium is practically transparent to thermal neutrons, and it is commonly used for the metal components of nuclear reactors – especially the claddings of their nuclear fuel rods. This property makes it crucial to the expanding Chinese nuclear supply chain industry and is one of the main reasons for the current upward price trend.

Besides the use of ALD deposited ZrO2 in DRAM memory cell capacitors, the leading end users of zirconia (ZrO2) are for ceramics, foundry sand (for metal sandcasting, over 70% of all metal castings are produced via sand casting process), opacifiers in glass, and refractories for material must withstand extremely high temperatures (e.g. furnaces). Other end uses of zircon include abrasives, chemicals (predominantly, zirconium oxychloride octohydrate and zirconium basic sulfate as intermediate chemicals), metal alloys, and welding rod coatings.

ZOC is also the main source for the >50 tonnes global demand of hafnium of which about 2 tonnes is heading for the electronics and semiconductor industry. In semiconductor manufacturing hafnium is mainly employed as hafnium oxide in leading edge CMOC Logic for smartphones, laptops, tablets and servers. The ultra thin HfO2 (about 2 nm) is deposited by an ALD process using solid HfCl4 evaporated from an highly advanced solid source evaporator directly integrated next to the ALD process chamber. Since the introduction in 2007 by the Intel 45nm technology ASM International has totally dominated the high-k gate oxide market with its Pulsar 3000 ALD chambers.

Today about half of all hafnium metal manufactured is produced as a by-product of zirconium refinement and the end product of the separation is HfCl4. HfCl4 hcan be processed further by the so called Kroll process (reduction with Mg at 1100 °C) to extract metallic hafnium metal sponge according to:

HfCl4 + 2 Mg -->  2 MgCl2 + Hf 

Please contact TECHCET for more deeper insigths into the high-k metal precursr supply chain : 


(Solid State Technology) Precursors tracked by TECHCET for ALD/CVD of metal and high-k dielectric films on IC wafers include sources of aluminum, cobalt, hafnium, tantalum, titanium, tungsten, and zirconium. The total market for 2017 is now estimated to be US$435M, growing to US$638M in 2021. The top-2 suppliers are estimated to hold more than half of the total available market, with many players competing to supply the next enabling molecule. In particular, cobalt precursor demand is forecasted to reach >$80M in 2021 as foundries transition to below 14nm-node processing. As a potential conflict mineral, TECHCET tracks the sub-suppliers of cobalt.

“Metal precursors have had double-digit growth over an extended period of time, and we expect that to continue as the IC industry transitions to 10nm- and 7nm-node logic and 3D-NAND fabrication, with an average long term CAGR of 11% over 2013 to 2021,” says Dr. Jonas Sundqvist, lead author of the report, senior technology analyst with TECHCET and researcher with Fraunhofer IKTS. “Dielectric precursors growth today is clearly driven by dielectric PEALD deposition in multiple patterning, and by dielectric CVD in 3D-NAND.”

ALD & CVD High-k and Metal Critical Material Report (LINK)