Wednesday, September 13, 2017

RWTH / Oxford Instruments Workshop on dry processing for Nanoelectronics and Micromechanics

Here is an excellent workshop at RWTH Aachen that I visited once organized by Oxford Instruments. Now this is a full 2 day event with a lot of interesting talks and tutorials on plasma processing including ALD offcourse.

Workshop on dry processing for Nanoelectronics and Micromechanics: deposition and etching 

by Oxford Instruments Plasma Technology and RWTH Aachen

LINK: http://www.oxfordplasma.de/data/workshop.htm

Friday, September 8, 2017

ALD Enabled Battery Materials, Methods and Products IP Roll-up by Forge Nano

Forge Nano is pleased to announce the completion of its Intellectual Property roll-up initiative for Atomic Layer Deposition (ALD) enabled battery materials, methods of manufacturing, and products.

Through a series of patent filings, acquisitions, and licenses, Forge Nano is pleased to offer its customers and partners a comprehensive IP portfolio to incorporate the benefits of ALD surface modification coatings into battery products for enhanced safety, lifetime and end-use performance. Forge Nano is currently accepting licensing offers for this portfolio, with the anticipation of closing on a first round of field-limited agreements by the end of 2017. 

The cornerstone of Forge Nano’s ALD-enabled battery materials IP protects lithium-containing cathode and anode materials with coatings of up to two nanometers in thickness (US 9,570,734):

Claim 1: An electrode comprising a plurality of particles having a diameter of maximally 60 μm, wherein the particles are coated with a protective layer having a uniform thickness of about 2 nm or less, wherein the protective layer of the particles is obtained by atomic layer deposition, and wherein the particles are lithium-containing particles.

Friday, September 1, 2017

Sentech supports the German FMD initiative in Adlershof Berlin with Etch and ALD technology

With the handover of the grant approvals to Fraunhofer and Leibniz, the Federal Ministry of Education and Research (BMBF) launched on April 6, 2017 the first research fab operating throughout Germany.
Most of the planned funds have been desicated for the semiconductor cluster Silicon Saxony in the State of Saxony (101 M EUR) and around Berlin (117 M EUR), see below. The former East Germany is where most high volume semiconductor prodcution (Infineon, Globalfoundries, XFab) and leading edge research and development takes place in Germany.  Just recently Bosch Sensortech also announced they are heading to Dresden in Saxony fwhen they take the step to MEMS production on 300 mm wafers. However, considerable founds are to be invested across Germany in a typical German decentralized Federal way.

Now researchers and companies in Adlershof outside Berlin are pushing forward joint development in microelectronics. Above all, the Ferdinand-Braun Institute, Leibniz Institute for High-Frequency Technology, conducting application-oriented research. As part of the recently launched "Forschungsfabrik Mikroelektronik Deutschland (FMD)", the institute is enganging additional experts for furture technologies at the Wista site.




Dr. Irina Kärkkänen and her fellow Sentech plasma application engineers and the Sentech President Albrecht Krüger at the nearby Sentech applications lab. 

"The FMD is a unique chance for the German and European semiconductor and electronics industries to strengthen it internationally", says Prof. Günther Tränkle, Director of the Ferdinand Braun Institute, Leibniz Institute for High Frequency Technology (FBH). "German research is already very strong in this area, but a common structure has been missing." Now this is changing: Together with 12 other research institutes and a total of more than 2,000 scientific staff, the Adlershofer Institute is one of the world's largest pools for technologies around Smart Systems. The Federal Ministry of Education and Research is supporting the FMD with around 350 million euros, of which 117.2 million euros will flow to Berlin and Brandenburg, whereby the two Leibniz and Fraunhofer institutes will modernize and complement their technological infrastructure.

The equipment from Sentech for the FMD consists of three modules, two of which are reactive ion etching cambers, that are used to etch very fine structures in wafer based production. In addition, the system can be used to deposit thin three-dimensional layers on by atomic layer deposition. A technique that the FBH could not access previously. "Microoptics and optoelectronics are an important future field," says Krüger, "so the FMD is of great importance for the companies and institutes in Adlershof." Krüger is convinced that: "From here, important impulses for the technology will emerge."

Original full article in German : LINK
More inforamtion on FMD : LINK

SPTS etch deposition and thermal equipment for semiconductor industry

You learn something everyday - here is an interesting blog on MEMS wafer level packaging are silicon capping and thin film encapsulation, each providing benefits for specific packaging applications by SPTS.

SPTS etch deposition and thermal equipment for semiconductor industry: SPTS etch deposition and thermal equipment for semiconductor industry.

Schematic illustration of capping wafer bonded to MEMS wafer (Picture SPTS)

A computational study of hafnia-based ferroelectric memories

The discovery of ferroelectric properties of binary oxides more than 10 years ago by researchers in Dresden, Germany has boosted the interest in ferroelectrics and bridged the scaling gap between the state-of-the-art semiconductor technology and ferroelectric memories. NaMLab, a subsidy to TU Dresden and a startup company FCM, have devoted substantial resources in understanding the hafnia based ferrolectric materials in detail and here is one of their latest publication seeking to find a correlation between the performance of the memory device and underlying physical mechanisms. 

A computational study of hafnia-based ferroelectric memories: from ab initio via physical modeling to circuit models of ferroelectric device

Milan Pešić, Christopher Künneth, Michael Hoffmann, Halid Mulaosmanovic, Stefan Müller, Evelyn T. Breyer, Uwe Schroeder, Alfred Kersch, Thomas Mikolajick, Stefan Slesazeck

S.I.: Computational Electronics of Emerging Memory Elements (LINK)



In a TiN/FE:HfO2/TiN based ferroelectric cell, charge trapping influences the electric field here the polarization response and corresponding domain pinning due to the charge trapping of a woken-up (left) and a fatigued stack (right) is shown. (Picture: NaMLab gGmbH SlideShare LINK). 

From a memory materials, process and precursor point of view it is interesting to follow the high-k material development starting with hafnia based DRAM at 90 nm in 2004 then moving to zirconia based below 65 nm and remaining strong since then and now there is an obvious opportunity for hafnia to come make a comeback in the future as a ferroelectric non-volatile memory technology. 

Recently I entertained myself by mapping the high-k IP that has been field segmented according to their elements. Here it is striking to see that there is almost no filing in the old school strontium, barium and PZT based ferroelectrics or "super high-k´s" nowadays as compares to in the nineties (see below, as presented at the CMC Conference 2017 in Dallas, USA).

Obviously hafnia also is heavily researcher due to its use as a gate dielectric in CMOS Logic and many high-k´s are also interesting in other types of memory cells like RRAM.


Thursday, August 31, 2017

The 6th ALD Lab Saxony Symposium at the SEMICON Europa 2017 in Munich, Germany

As usual ALD Lab Saxony is organizing an ALD Symposium at SEMICON Europa. This time we are back in Munich and for the first time co-located with Productronica which may open new exsiting oppertunities for ALD!

Confirmed speakers from: Picosun Oy, Fraunhofer IMS Fraunhofer IKTS, and TECHCET LLC

More iformation will be available momentairly and the event is open for sponsoring

Please contact Jonas Sundqvist for more information: jonas.sundqvist@ikts.fraunhofer.de




Picosun reports repeat sales of ALD 200 mm Batch tools to major Asian discrete device manufacturers

ESPOO, Finland, 31st August, 2017 – Picosun Oy, leading supplier of high-quality Atomic Layer Deposition (ALD) solutions for industrial production, reports of repeat sales of automated P-300BV vacuum batch production systems to major Asian discrete device manufacturers. 
 
The PICOSUN™ P-300BV ALD system is specially designed for production of LEDs, discrete devices, and MEMS devices such as print heads, sensors, and microphones. (picosun.com)
 
Even if the 300 mm wafer size is the current state-of-the-art in many key semiconductor applications, and efforts have been made to increase this size even to 450 mm, the 200 mm market is very much alive and showing no signs of tailing off. One main factor supporting this steadfast longevity is the increasing usage of substrate materials such as GaN, SiC, and sapphire, where the wafer size is limited to 200 mm, or even smaller. These substrates have many benefits over traditional silicon and they allow manufacturing of components that are central in several technologies affecting our everyday life and the modern society. Examples are LED lighting, car and transport automation, power conversion, wireless communication and remote sensing. A prime example of the last two is the rapidly spreading Internet-of-Things with the billions of sensors forming its core. All of these applications ensure that the demand for 200 mm semiconductor production equipment stays at constant high and even increases.

Wednesday, August 30, 2017

Webinar - ALD for 2D materials

Oxford Instruments is running a webinar on ALD for 2D materials & devices on 14 September, 3:30pm (UK time). The webinar will comprise of two talks, with a Q&A session at the end:
  • Atomic Layer Deposition for Graphene devices by Dr Daniel Neumaier, AMO GmbH
  • Atomic Layer Deposition on and of 2D materials by Dr Harm Knoops, Oxford Instruments
If you would like to register please visit www.oxinst.com/ALD2D


Tuesday, August 29, 2017

Beneq is recruiting to expand their talent pool for ALD Equipment, Coating and Display

Beneq’s history is an exciting blend of Finnish industry history, high technology expertise, international business and nanocoatings. Last year was a year of strategic transformation at Beneq and now they are all set for the next growth phase. Beneq has ambitious development plans in both our business units – Thin Film Solutions and Lumineq Displays – and are now starting a large recruitment campaign for building the talent pool they will need to realize our growth plan.


Beneq is recruiting to expand their talent pool - In their Thin Film Solutions business unit, they are currently looking for professionals to work with our thin film equipment as:
  • SW / Automation Engineers having programming skills in PLC and HMI as well as understanding of semiconductor communication protocols (SECS/GEM)
  • Electrical Engineers with proven track record from electrical system design and solid background in using e-Plan and Autocad
  • Mechanical Engineers demonstrating strong mechanical engineering competences and working experience from equipment manufacturing industry
  • Field Service Engineers for testing and commissioning of equipment and supporting our Customer Services team with upgrade and service projects
  • Customer Project Managers with experience of equipment manufacturing industry and proven track record of managing customer delivery projects.
Beneq are also searching a business-driven
  • Head of Coating Services to develop, grow and manage the Coating Services business. The Coating Services unit is one of our growth engines, offering advanced outsourcing services based on Beneq’s atomic layer deposition (ALD) thin film technologies.
The Lumineq Displays business unit is especially looking for
  • Chief Design Engineer to lead a team of Electronics & SW professionals: an experienced line manager and a professional designer of embedded systems
  • Application Engineer with solid SW design background
  • Lay-out designer for photolithography mask designing: competent in using AutoCAD (2D).

For Operations function, Beneq is currently looking for:
  • Technical Buyer to manage, coordinate and perform procurement of designated set of commodities, product, project and service groups and suppliers to support all businesses of Beneq.

Apply now and make Finnish high-tech history!

If you want to join us in making Finnish high-tech history, please apply here and send us your open application and CV. In your application, we would especially like to hear what inspired you to apply and what you believe you would bring to the company. Please also specify which position you are interested in.

More information:

www.beneq.com
www.lumineq.com
Eeva Lehtiö, Manager, Human Resources, +358 9 7599 530, careers@beneq.com

Monday, August 28, 2017

German research cluster reduce the cost for PECVD PERC solar cell passivation

The efficiency of solar cells can be increased by the PERC technology (Passivated Emitter Rear Cell). The current yield increases because the back of the solar cell is passivated. However, additional process steps are needed for the production process adding to the over all production cost.
 

Circular Inductively Coupled Plasma (ICP) Calculated electron energy distribution for circular ICP plasma Induced electric field strength calculated from ICP coil current (Picture from SIMPLEX) 
 
Most commonly used passivation layers are aluminum oxide (Al2O3) or silicon nitride (SiNx) and until now Atomic Layer Deposition (ALD) has been able to produce th highest layer quality enable the use of thinner layer thickness and hence also save material cost (TMA precursor).

The German Federal research project Simplex has succeeded in significantly improving the production process of highly efficient PERC solar cells on the basis of plasma-assisted chemical vapor deposition (PECVD), while at the same time potentiall reducing the costs.

"For the industrial plasma coating process, the thickness of the most important layer for the PERC cell could be successfully reduced to a quarter without lowering cell efficiency," said Coordinator Dr. Bernhard Cord of the company Singulus Technologies AG

For example, PERC solar cells with 4 nanometer (nm) thick aluminum oxide layers could be produced instead of the current 20 to 30 nm and an efficiency of 21.0 percent.

More information belwo (in German):

German funding project Simplex : LINK
News article (SolarServer) : LINK 
Cluster partners

SINGULUS TECHNOLOGIES AG, Kahl am Main (coordinator)
Fraunhofer-Institut für Solare Energiesysteme (ISE), Freiburg
Fraunhofer-Institut für Schicht- und Oberflächentechnik (IST), Braunschweig
Plasmetrex GmbH, Berlin
Plasus GmbH, Kissing
SENTECH Instruments GmbH, Berlin
TRUMPF Hüttinger GmbH + Co. KG, Freiburg  

Tuesday, August 22, 2017

Woah - Hafnium oxide as gate dielectric scales also in the 2D world

Hafnium oxide high-k dielectrics deposited by atomic layer deposition have been used in DRAM since 2004 (Samsung 90 nm) and 2007 in high performance CMOS logic (Intel 45 nm). Later the DRAM high-k dielectric was replaced by a zirconium oxide based material but for logic hafnium oxide has remained the material of choice for the high-k metal gate stack by toping off the native oxide of silicon with its higher k-value. Hafnium oxide even survived the transition to narrow 3D FinFET devices and is also the main contender for silicon based Nano Wire FETs. However, recent research in alternative 2D channel materials such as graphene, molybdenum disulfide and others has created a totally new situation where hafnium oxide finds it difficult to compete as the material of choice for the gate stack dielectric. 

Until now that is, because just recently some clever researchers at Stanford has presented an new all hafnium channel and dielectric combo using hafnium diselenide and the natural native oxide of that - ta da - hafnium oxide. Apparently the zirconium version is also brought into play but let us see about that...

You can read all about it in this online article published by Stanford, which also leads you to the original scientific references and journal publications.

New ultrathin semiconductor materials exceed some of silicon’s ‘secret’ powers, Stanford engineers find

The next generation of feature-filled and energy-efficient electronics will require computer chips just a few atoms thick. For all its positive attributes, trusty silicon can’t take us to these ultrathin extremes.

Now, electrical engineers at Stanford have identified two semiconductors – hafnium diselenide and zirconium diselenide – that share or even exceed some of silicon’s desirable traits, starting with the fact that all three materials can “rust.”



TEM cross-section of an experimental chip, the bands of black and white reveal alternating layers of hafnium diselenide – an ultrathin semiconductor material – and the hafnium dioxide insulator. (Image credit: Michal Mleczko)

Alkane Resources reports that zirconium oxychloride (ZOC) prices are up 40% since January 2017

Alkane Resources reports that zirconium oxychloride (ZOC) prices up 40% since January 2017, which are the highest prices for 4-5 years.

ZOC pricing hike during 2017 (Alkane Resources, Twitter). Alkane is developing the Dubbo Project in NSW Australia, based on a large in-ground resource of zirconium, hafnium, niobium and rare earths, with operations scheduled for 2018.


In contrast to hafnium, zirconium is practically transparent to thermal neutrons, and it is commonly used for the metal components of nuclear reactors – especially the claddings of their nuclear fuel rods. This property makes it crucial to the expanding Chinese nuclear supply chain industry and is one of the main reasons for the current upward price trend.

Besides the use of ALD deposited ZrO2 in DRAM memory cell capacitors, the leading end users of zirconia (ZrO2) are for ceramics, foundry sand (for metal sandcasting, over 70% of all metal castings are produced via sand casting process), opacifiers in glass, and refractories for material must withstand extremely high temperatures (e.g. furnaces). Other end uses of zircon include abrasives, chemicals (predominantly, zirconium oxychloride octohydrate and zirconium basic sulfate as intermediate chemicals), metal alloys, and welding rod coatings.

ZOC is also the main source for the >50 tonnes global demand of hafnium of which about 2 tonnes is heading for the electronics and semiconductor industry. In semiconductor manufacturing hafnium is mainly employed as hafnium oxide in leading edge CMOC Logic for smartphones, laptops, tablets and servers. The ultra thin HfO2 (about 2 nm) is deposited by an ALD process using solid HfCl4 evaporated from an highly advanced solid source evaporator directly integrated next to the ALD process chamber. Since the introduction in 2007 by the Intel 45nm technology ASM International has totally dominated the high-k gate oxide market with its Pulsar 3000 ALD chambers.

Today about half of all hafnium metal manufactured is produced as a by-product of zirconium refinement and the end product of the separation is HfCl4. HfCl4 hcan be processed further by the so called Kroll process (reduction with Mg at 1100 °C) to extract metallic hafnium metal sponge according to:

HfCl4 + 2 Mg -->  2 MgCl2 + Hf 

Please contact TECHCET for more deeper insigths into the high-k metal precursr supply chain : 


(Solid State Technology) Precursors tracked by TECHCET for ALD/CVD of metal and high-k dielectric films on IC wafers include sources of aluminum, cobalt, hafnium, tantalum, titanium, tungsten, and zirconium. The total market for 2017 is now estimated to be US$435M, growing to US$638M in 2021. The top-2 suppliers are estimated to hold more than half of the total available market, with many players competing to supply the next enabling molecule. In particular, cobalt precursor demand is forecasted to reach >$80M in 2021 as foundries transition to below 14nm-node processing. As a potential conflict mineral, TECHCET tracks the sub-suppliers of cobalt.

“Metal precursors have had double-digit growth over an extended period of time, and we expect that to continue as the IC industry transitions to 10nm- and 7nm-node logic and 3D-NAND fabrication, with an average long term CAGR of 11% over 2013 to 2021,” says Dr. Jonas Sundqvist, lead author of the report, senior technology analyst with TECHCET and researcher with Fraunhofer IKTS. “Dielectric precursors growth today is clearly driven by dielectric PEALD deposition in multiple patterning, and by dielectric CVD in 3D-NAND.”

ALD & CVD High-k and Metal Critical Material Report (LINK)

 

Sunday, August 20, 2017

Atomic layer etching of MOCVD epitaxial gallium nitride

As have been reported before by Lund Nano Lab in Sweden (e.g. at ALE2016 Ireland and ALE2017 Denver) it is quite possible to use a standard ICP reactive ion etch chamber to run Atomic Layer Etching (ALE). Here is a nice publication from Aalto University in Finland and current and ex scientists from Lund Nano Lab in Sweden transferring the ALE processes from Lund and running it on an Oxford Instruments Plasmalab 100 in ALE mode etching GaN in Helsinki Micronova clean room.


The Oxford Instruments Plasmalab 100 at Aalto University Micronova clean room (LINK to technical specs and capabilities) 

MOCVD grown epitaxial AlGaN/GaN heterostructures implemented in high electron mobility transistors (HEMTs) have a well-defined layered structure with the two-dimensional electron gas (2DEG). However, etching of the gate recess is challenging as conventional RIE does not provide sufficiently good control over the etch process, and high energy ions can cause damage to the 2DEG layer. This paper showcase how these problems can be avoided if GaN ALE is used in etching these recesses.


Sabbir Khan - the ALE King tuning the Plasma at Lund Nano Lab.

Besides techniques of growing a single monolayer or few monolayers of GaN are challenging. GaN ALE could provide an alternative method to the 2D material community by a controlled thinning of high quality films of GaN down to a few atomic layers.

Please find the abstract to the Open Access JVSTA publication below:


Atomic layer etching of gallium nitride (0001)
Christoffer Kauppinen, Sabbir Ahmed Khan, Jonas Sundqvist, Dmitry B. Suyatin, Sami Suihkonen, Esko I. Kauppinen, and Markku Sopanen

Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 35, 060603 (2017); doi: http://dx.doi.org/10.1116/1.4993996





Abstract: In this work, atomic layer etching (ALE) of thin film Ga-polar GaN(0001) is reported in detail using sequential surface modification by Cl2 adsorption and removal of the modified surface layer by low energy Ar plasma exposure in a standard reactive ion etching system. The feasibility and reproducibility of the process are demonstrated by patterning GaN(0001) films by the ALE process using photoresist as an etch mask. The demonstrated ALE is deemed to be useful for the fabrication of nanoscale structures and high electron mobility transistors and expected to be adoptable for ALE of other materials.

Saturday, August 19, 2017

OSRAM Opto Semiconductor Acquires MOCVD Systems from AIXTRON

AIXTRON announced that OSRAM Opto Semiconductors has purchased the company's AIX 2800G4-TM Planetary system for the manufacturing of mainly infrared-based high power lasers and LEDs based on gallium arsenide (GaAs).

Source: LEDinside LINK
Photo credit: Aixtron (www.aixtron.com)
From Aixtron Press release: “We are very pleased that OSRAM Opto Semiconductors has selected our AIX 2800G4-TM platform for the production of high power laser and infrared LED devices. Their trust in our AIX 2800G4-TM system confirms our strategy to focus on solutions for the most demanding applications, where superior process performance is mandatory to meet our customer’s requirements. Following the recent qualification of our AIX G5 C platform and achieving this key milestone also with the AIX 2800G4-TM, we are looking forward to further deepen our partnership with one of the most innovative semiconductor manufacturers worldwide”, explains Dr. Frank Schulte, Vice President AIXTRON Europe.

Wednesday, August 16, 2017

Don´t miss any ALD News!

Here is a service provided by BALD Engineering that you can order your own personalized e-mail news service for the two News Blogs operated by BALD Engineering. The feed can also be integrated into any feed reader you may be using or if you like to provide the news feed on your website. You´re welcome to do so - it is for free! 

There is a obvious unsubscribe link at the beginning of each e-mail so when ever you´re feeling fully saturated just click on the link to unsubscribe ;-)

This is especially convenient for those of you in countries were LinkedIn is currently blocked, which is typically the way of getting the news otherwise. Just click on the links below and you can set it up as you like (two examples as screendumps below).

BALD News Blog: http://feeds.feedburner.com/AldNewsBlog-BaldEngineering

BALD Financials: http://feeds.feedburner.com/BaldFinancials



Monday, August 14, 2017

University of Helsinki present a thermal ALD gold process

Some years ago Barry Lab presented their plasma enhanced gold ALD process (LINK). However, in order to coat 3D features and objects plasma activated processes have limitations so that is why it is now very cool to read about a thermally activated ALD process for gold from University of Helsinki. They have identified a precursor, Me2Au(S2CNEt2), with a quite broad thermal ALD process window (120–250 °C). Amazingly, as for the earlier breakthrough from Helsinki on Ruthenium ALD, the trick is to use a oxygen co reactant and for gold the Finns had to go all the way and use ozone. Read all about the details in the paper below.

Thermal Atomic Layer Deposition of Continuous and Highly Conducting Gold Thin Films
Maarit Mäkelä, Timo Hatanpää, Kenichiro Mizohata, Jyrki Räisänen, Mikko Ritala, and Markku Leskel

Abstract:
Five Au(III) compounds were synthesized and evaluated for atomic layer deposition of Au thin films. One of the compounds, Me2Au(S2CNEt2), showed optimal thermal characteristics while being volatile and thermally stable. In the growth experiments, this compound was applied with O3 at temperatures of 120–250 °C. Self-limiting growth was confirmed at 180 °C with a rate of 0.9 Å/cycle. The deposited Au thin films were uniform, polycrystalline, continuous, and conductive. Typical resistivity values of 40 nm thick films were 4–16 μΩ cm, which are low for chemically deposited thin films. The chemical composition of a Au thin film deposited at 180 °C was analyzed by time-of-flight elastic recoil detection analysis, proving the film was pure with small amounts of impurities. The detected impurities were O (2.9 atom %), H (0.9 atom %), C (0.2 atom %), and N (0.2 atom %).

Friday, August 11, 2017

A new featherweight, flame-resistant and super-elastic metamaterial from Purdue Uniuversity

Purdue University reports: WEST LAFAYETTE, Ind. — A new featherweight, flame-resistant and super-elastic metamaterial has been shown to combine high strength with electrical conductivity and thermal insulation, suggesting potential applications from buildings to aerospace.


A new composite material combines ultra-lightweight with flame-resistance, super-elasticity and other attributes that could make it ideal for various applications. Here, the material is viewed with a scanning electron microscope, while its flame resistance is put to the test. (Purdue University photo)

[From the abstract, Adv. Mater., DOI: 10.1002/adma.201605506] "A ceramic/graphene metamaterial (GCM) with microstructure-derived superelasticity and structural robustness is achieved by designing hierarchical honeycomb microstructures, which are composited with two brittle constituents (graphene and ceramic) assembled in multi-nanolayer cellular walls. Attributed to the designed microstructure, well-interconnected scaffolds, chemically bonded interface, and coupled strengthening effect between the graphene framework and the nanolayers of the Al2O3 ceramic (NAC), the GCM demonstrates a sequence of multifunctional properties simultaneously that have not been reported for ceramics and ceramics–matrix–composite structures, such as flyweight density, 80% reversible compressibility, high fatigue resistance, high electrical conductivity, and excellent thermal-insulation/flame-retardant performance simultaneously."
 
Findings were detailed in a research paper published on May 29 in the journal Advanced Materials. The paper was a collaboration between Purdue, Lanzhou University and the Harbin Institute of Technology, both in China, and the U.S. Air Force Research Laboratory. A research highlight about the work appeared in the journal Nature Research Materials and is available at https://www.nature.com/articles/natrevmats201744.pdf. A YouTube video (below) about the work is available at https://youtu.be/PVd-eS_KMlU.

The ALD process of the nanolayer Al2O3 ceramic (NAC) were performed in an Utratech Fiji F200 (now Veeco CNT) ALD system at 250 °C using trimethylaluminum (TMA) and H2O.
 
 

Tuesday, August 8, 2017

New promising ALD catalyst for CO2 splitting

Scientists from École polytechnique fédérale de Lausanne (EPFL) in Switzerland has reported a low cost system to split carbon dioxide to carbon monoxide and oxygen using an ALD tin oxide catalyst on copper oxide nanowires. The devis is working at a rather efficiency of 13.4%, which opens up new paths to get rid of the man made CO2 that is currently heating up our planet and causing extreme weather conditions everywhere  - believe it or not.
The research comes out of the famous laboratory of Prof. Michael Grätzel at EPFL, one of the worlds top 10 most cited chemists and most certainly the most cited chemist from Dorfchemnitz in Saxony, Germany. One of his most famous invention is the so called Gräzel cell - a dye-sensitized solar cell, which is a low-cost version of thin film solar cells and he was awarded the 2010 Millennium Technology Prize for this invention.
Michael Grätzel (born 11 May 1944, in Dorfchemnitz, Saxony, Germany) is a professor at the École Polytechnique Fédérale de Lausanne where he directs the Laboratory of Photonics and Interfaces [Wikipedia].
Using Earth-abundant materials, EPFL scientists have built the first low-cost system for splitting CO2 into CO, a reaction necessary for turning renewable energy into fuel.

The future of clean energy depends on our ability to efficiently store energy from renewable sources and use it later. A popular way to do this is to electrolyze carbon dioxide to carbon monoxide, which is then mixed with hydrogen to produce liquid hydrocarbons like gasoline or kerosene that can be used as fuel. However, we currently lack efficient and Earth-abundant catalysts for the initial splitting of CO2 into CO and oxygen, which makes the move into expensive and prohibitive. EPFL scientists have now developed an Earth-abundant catalyst based on copper-oxide nanowires modified with . The system can split CO2 with an efficiency of 13.4%. The work is published in Nature Energy, and can help worldwide efforts to synthetically produce carbon-based fuels from CO2 and water.

Read more at: https://phys.org/news/2017-06-low-cost-carbon-dioxide.html#jCpv
Using Earth-abundant materials, EPFL scientists have built the first low-cost system for splitting CO2 into CO, a reaction necessary for turning renewable energy into fuel.

The future of clean energy depends on our ability to efficiently store energy from renewable sources and use it later. A popular way to do this is to electrolyze carbon dioxide to carbon monoxide, which is then mixed with hydrogen to produce liquid hydrocarbons like gasoline or kerosene that can be used as fuel. However, we currently lack efficient and Earth-abundant catalysts for the initial splitting of CO2 into CO and oxygen, which makes the move into expensive and prohibitive. EPFL scientists have now developed an Earth-abundant catalyst based on copper-oxide nanowires modified with . The system can split CO2 with an efficiency of 13.4%. The work is published in Nature Energy, and can help worldwide efforts to synthetically produce carbon-based fuels from CO2 and water.

Read more at: https://phys.org/news/2017-06-low-cost-carbon-dioxide.html#jCp
Using Earth-abundant materials, EPFL scientists have built the first low-cost system for splitting CO2 into CO, a reaction necessary for turning renewable energy into fuel.

The future of clean energy depends on our ability to efficiently store energy from renewable sources and use it later. A popular way to do this is to electrolyze carbon dioxide to carbon monoxide, which is then mixed with hydrogen to produce liquid hydrocarbons like gasoline or kerosene that can be used as fuel. However, we currently lack efficient and Earth-abundant catalysts for the initial splitting of CO2 into CO and oxygen, which makes the move into expensive and prohibitive. EPFL scientists have now developed an Earth-abundant catalyst based on copper-oxide nanowires modified with . The system can split CO2 with an efficiency of 13.4%. The work is published in Nature Energy, and can help worldwide efforts to synthetically produce carbon-based fuels from CO2 and water.

Read more at: https://phys.org/news/2017-06-low-cost-carbon-dioxide.html#jCp
Using Earth-abundant materials, EPFL scientists have built the first low-cost system for splitting CO2 into CO, a reaction necessary for turning renewable energy into fuel.

The future of clean energy depends on our ability to efficiently store energy from renewable sources and use it later. A popular way to do this is to electrolyze carbon dioxide to carbon monoxide, which is then mixed with hydrogen to produce liquid hydrocarbons like gasoline or kerosene that can be used as fuel. However, we currently lack efficient and Earth-abundant catalysts for the initial splitting of CO2 into CO and oxygen, which makes the move into expensive and prohibitive. EPFL scientists have now developed an Earth-abundant catalyst based on copper-oxide nanowires modified with . The system can split CO2 with an efficiency of 13.4%. The work is published in Nature Energy, and can help worldwide efforts to synthetically produce carbon-based fuels from CO2 and water.

Read more at: https://phys.org/news/2017-06-low-cost-carbon-dioxide.html#jCp
Using Earth-abundant materials, EPFL scientists have built the first low-cost system for splitting CO2 into CO, a reaction necessary for turning renewable energy into fuel.

The future of clean energy depends on our ability to efficiently store energy from renewable sources and use it later. A popular way to do this is to electrolyze carbon dioxide to carbon monoxide, which is then mixed with hydrogen to produce liquid hydrocarbons like gasoline or kerosene that can be used as fuel. However, we currently lack efficient and Earth-abundant catalysts for the initial splitting of CO2 into CO and oxygen, which makes the move into expensive and prohibitive. EPFL scientists have now developed an Earth-abundant catalyst based on copper-oxide nanowires modified with . The system can split CO2 with an efficiency of 13.4%. The work is published in Nature Energy, and can help worldwide efforts to synthetically produce carbon-based fuels from CO2 and water.

Read more at: https://phys.org/news/2017-06-low-cost-carbon-dioxide.html#jCp

Below the abstract and the link to the Nature Energy publication

Solar conversion of CO2 to CO using Earth-abundant electrocatalysts prepared by atomic layer modification of CuO


Marcel Schreier, Florent Héroguel, Ludmilla Steier, Shahzada Ahmad, Jeremy S. Luterbacher, Matthew T. Mayer, Jingshan Luo & Michael Grätzel

Nature Energy 2, Article number: 17087 (2017) doi:10.1038/nenergy.2017.87

Abstract: The solar-driven electrochemical reduction of CO2 to fuels and chemicals provides a promising way for closing the anthropogenic carbon cycle. However, the lack of selective and Earth-abundant catalysts able to achieve the desired transformation reactions in an aqueous matrix presents a substantial impediment as of today. Here we introduce atomic layer deposition of SnO2 on CuO nanowires as a means for changing the wide product distribution of CuO-derived CO2 reduction electrocatalysts to yield predominantly CO. The activity of this catalyst towards oxygen evolution enables us to use it both as the cathode and anode for complete CO2 electrolysis. In the resulting device, the electrodes are separated by a bipolar membrane, allowing each half-reaction to run in its optimal electrolyte environment. Using a GaInP/GaInAs/Ge photovoltaic we achieve the solar-driven splitting of CO2 into CO and oxygen with a bifunctional, sustainable and all Earth-abundant system at an efficiency of 13.4%