Friday, October 28, 2016

Beneq News - Smart phones, Electronics and ALD Displays for Extreme Conditions

 
Smart ALD Phones
According to recent industry news, smartphone market leaders, such as Apple, Samsung and LG, are planning to adopt ALD-based encapsulation for flexible displays. It shows the potential of atomic layer deposition in addressing consumer product challenges and means a huge leap forward in the addressable market size of ALD. Read more
Long Live Electronics
ALD barrier films are ultrathin, pinhole-free and totally conformal. They can provide a billion times better a moisture barrier than the common alternatives. It is also possible to outsource the production of moisture barriers to Beneq Coating Services, if you want to get the best available protection without initial capital investments. Read more
ALD in Extreme Conditions
Industrial use of ALD started over 30 years ago in Espoo, Finland, in the Home of ALD, the factory which today is the Beneq headquarters. World’s first ALD-based commercial products were electroluminescent displays. The ALD use case of our Lumineq displays is in many ways quite extraordinary. Read More
High-throughput spatial ALD and optical NIR filters
If you missed the Beneq presentations in ALD2016, you can get them from our blog: Large-area spatial ALD coating equipment for Zn buffers for solar cells, OLED encapsulation, glass coating, and rear-surface passivation, and a case study about an optical NIR (near infrared) filter on the inner wall of a glass cylinder. Download posters

Ferroelectric memory startup aims at GloFo's 22FDX at Fab1 in Dresden

Here is good and promising news about the Ferroelectric Mmeorz Company (FCM) in Dresden (as published by EE Times):
 
TEM of FeFET processed in 28 nm high-k metal gate CMOS Technology (left) and 2D TCAD-model for device simulation (right). (Picture from NaMLab)
 
The company, a spin-off from the nano- and micro- laboratory (NaMLab) at the Technical University of Dresden, is making use of the recently discovered ferroelectric effect in silicon-doped hafnium dioxide. The company has made progress over the last year in terms of establishing hafnium ferroelectric memory as design choice for embedded nonvolatile memory in 28nm processes and below. 

The 64kbit active array was developed with Globalfoundries Inc. and is the subject of a paper due to be presented at the upcoming International Electron Devices Meeting (IEDM) in San Francisco in December. Meanwhile FMC is seeking funds. Having received more than €4 million (about $4.4 million) in government grants the company says it is looking approximately €2 million more Series A funding round.

Continue reading in EE Times about FCM here: 

Ferroelectric memory startup aims at GloFo's 22FDX

Electronics EETimes (registration)-vor 20 Stunden
The company, a spin-off from the nano- and micro- laboratory (NaMLab) at the Technical University of Dresden, is making use of the recently ...

Dresden Memory Startup To Debut At Semicon Europa

EE Times-15.09.2015
The company is the product of work at NaMLab on the ferroelectric effect in thin films of silicon-doped hafnium dioxide. That work was, in turn, ...

Wednesday, October 26, 2016

UPDATE : Transition metal compounds, Belux2 - 17-18 November 2016 - imec Belgium

Registration for the workshop is still open: http://www2.imec.be/be_en/education/conferences/belux2.html. Many of imec's large industrial IDM partners and equipment suppliers have registered for this workshop - an excellent opportunity to meet the experts in this field!

 
Imec and the COST action HERALD will host a workshop dedicated to Transition metal compounds driving technological advancement. The Belux2 workshop will take place at imec in Leuven, Belgium on 17-18 November 2016.

 This 2 half-day workshop will provide an excellent opportunity to spark multidisciplinary discussions regarding the modeling, deposition and characterization of novel transition metal compounds for next generation technologies.

The program will consist of Presentations by invited speakers.
  
Prof. Atsufumi Hirohata (University of York, UK) - Heusler Alloy Films for Spintronic Devices
Dr. Stanislav Chadov (Max Planck, Germany) - Room-temperature tetragonal noncollinear antiferromagnet: Pt2MnGa
Prof. Andreas Michels (University of Luxembourg, Luxembourg) - Magnetic Neutron Scattering Studies on Nd-Fe-B Magnets
Prof. Thibault Devolder (Universite Paris Sud, France) - Nanosecond-Scale Switching in Perpendicularly Magnetized STT-MRAM Cells
Prof. Jens Kreisel (Luxembourg Institute of Science and Technology, Luxembourg) - Strain & phase transitions in oxide heterostructures and ultrathin films
Prof. Sebastiaan van Dijken (Aalto University, Finland) - Electric-Field Control of Magnetism in Multiferroic Heterostructures
Prof. Guus Rijnders (University of Twente, The Netherlands) - Piezeoelectrics
Geoffrey Pourtois (imec, Belgium) - Modeling of the impact of the chemical environment on the properties of MX2 materials for nanoelectronic applications
Stephen McDonnell (University of Virginia, US) - Deposition of and on 2D materials
Dr. Ageeth Bol (Eindhoven University, The Netherlands) - Atomic layer deposition of metals and oxides on graphene for future nanoelectronics
Prof. Alexander Shluger (University College London, UK) - Some ideas on the mechanisms of electroforming in oxides from DFT simulations
Dr. Uwe Schroeder (Namlab, Germany) - HfO2 and ZrO2 based ferroelectric materials for non-volatile memory applications
Prof. Matthias Wuttig (RWTH Aachen, Germany) - Novel Phase Change Materials by Design: The Mistery of Resonance Bonding
Dr. Ilia Valov (FZ Juelich, Germany) - Interfaces, Mobile Ions and Moisture Effects in ReRAM memristive systems
·         Poster session.
  • Walking dinner.
More information and the registration form are available at: http://www2.imec.be/be_en/education/conferences/belux2/home.html.
The fee for the workshop is only 50 euro (VAT included). The deadline for registration is 11 November 2016.
Poster contributions are welcome by abstract submission (http://www2.imec.be/be_en/education/conferences/belux2/call-for-papers.html). The deadline for abstract submission is 4 November 2016.
We really look forward to welcoming you at imec!
Best regards from the Belux2 organizing committee.
Naoufal Bahlawane, Luxembourg Institute of Science and Technology (LIST)
Sven Van Elshocht, imec (chairman)
Christoph Adelmann, imec
Annelies Delabie, imec
Johan Swerts, imec
Kathleen Vanderheyden, imec
Fred Loosen, imec
Please forward this email to whom it may concern.
http://www2.imec.be/content/user/Image/events/HERALD-LOGO-(PRIMARY).png
http://www2.imec.be/content/user/Image/events/logo_cost.png

3rd International Conference on ALD Applications & 2016 China ALD Conference

The city of Suzhou near Shanghai was the venue for the 3rd International Conference on ALD Applications & 2016 China ALD Conference, which took place on 16-19 October 2016. Nearly 200 delegates attended, mostly from China, but also from Taiwan, Korea, Japan, India, Singapore, USA, Germany, Spain, UK, Finland, Ireland and the Netherlands. 
 

The conference was opened with keynote speeches from Prof Helmut Baumgart of Old Dominion University, USA, and Prof M. Hong of National Taiwan University. Later on, the conference split into three parallel sessions of oral contributions. Over 60 posters were presented and best student poster prizes were awarded at the closing session.

The HERALD Chair was kindly given an opportunity to present the network as a platform for collaboration between Europe and China, and there were discussions about what form a future international ALD network might take.

Picosun supported the conference as Platinum sponsors and Beneq, Honoprof, Ultratech/CNT, Leadmicro and Sentech were gold sponsors. The Suzhou New City Garden Hotel was an ideal venue, with an outstanding selection of food from many countries on offer at mealtimes. Monday evening featured a banquet of traditional food, including the local speciality, hairy crab, and a live performance of songs from classical Chinese opera.

The conference was a great success, testament to a lot of hard work by the local committees and volunteers - the advisory committee chaired by Prof David Wei Zhang of Fudan University and Dr Wei-Min Li of Leadmicro Ltd., the organizing committee chaired by Prof Shi-Jin Ding and Prof Yongfeng Mei of Fudan University, and the executive committee chaired by Prof Hongliang Lu of Fudan and Prof Feng Zhang of Institute of Semiconductors, Chinese Academy of Sciences; 谢谢 
 
 

Tuesday, October 25, 2016

Ultrathin thermoacoustic Nano Loud Speakers by Tungsten ALD

Woah! This is pretty cool technology and by ALD for sure. University of Colorado, Boulder and  Structured Nanosystems LLC has demonstrated ultrathin thermoacoustic nanobridge loudspeakers from Tungesten ALD on polyimide. Previously I´ve seen same type with Single Wall CNT film by Alto University in Finland (check out Youtube movie below)



All details in the article below (Abstract and link given) and also in a news article here by Nanotechweb, which have detailed pictures and also sound test including some famous German classical music tunes. I assume that there will be a chance to listen live at ALD2017 in Denver!
The CU Boulder MEMS group utilizes ALD to advance nano-fabrication such as the deposition of specialized nano coatings and functional layers. Their current research projects can be found here.

Ultrathin thermoacoustic nanobridge loudspeakers from ALD on polyimide


J J Brown, N C Moore, O D Supekar, J C Gertsch and V M Bright 
2016 IOP Publishing Ltd
Nanotechnology, Volume 27, Number 47
http://dx.doi.org/10.1088/0957-4484/27/47/475504

Abstract: The recent development of low-temperature (<200 °C) atomic layer deposition (ALD) for fabrication of freestanding nanostructures has enabled consideration of active device design based on engineered ultrathin films. This paper explores audible sound production from thermoacoustic loudspeakers fabricated from suspended tungsten nanobridges formed by ALD. Additionally, this paper develops an approach to lumped-element modeling for design of thermoacoustic nanodevices and relates the near-field plane wave model of individual transducer beams to the far-field spherical wave sound pressure that can be measured with standard experimental techniques. Arrays of suspended nanobridges with 25.8 nm thickness and sizes as small as 17 μm × 2 μm have been fabricated and demonstrated to produce audible sound using the thermoacoustic effect. The nanobridges were fabricated by ALD of 6.5 nm Al2O3 and 19.3 nm tungsten on sacrificial polyimide, with ALD performed at 130 °C and patterned by standard photolithography. The maximum observed loudspeaker sound pressure level (SPL) is 104 dB, measured at 20 kHz, 9.71 W input power, and 1 cm measurement distance, providing a loudspeaker sensitivity value of ~64.6 dB SPL/1 mW. Sound production efficiency was measured to vary proportional to frequency f 3 and was directly proportional to input power. The devices in this paper demonstrate industrially feasible nanofabrication of thermoacoustic transducers and a sound production mechanism pertinent to submicron-scale device engineering.

Monday, October 24, 2016

Regioselective ALD in MOFs Directed by Dispersion Interactions

Regioselective Atomic Layer Deposition in Metal–Organic Frameworks Directed by Dispersion Interactions

Leighanne C. Gallington, In Soo Kim, Wei-Guang Liu, Andrey A. Yakovenko, Ana E. Platero-Prats, Zhanyong Li, Timothy C. Wang, Joseph T. Hupp, Omar K. Farha, Donald G. Truhlar, Alex B. F. Martinson, and Karena W. Chapman

J. Am. Chem. Soc., 2016, 138 (41), pp 13513–13516
DOI: 10.1021/jacs.6b08711

The Supporting Information is available free of charge on the ACS Publications website at DOI: 10.1021/jacs.6b08711.
  • Details of X-ray experiments and analysis and computational modeling (PDF)
  • Video of evolving difference envelope densities during in situ AIM (AVI)




Picure from graphical abstract showing the MOF process steps in relation to a standard ALD process (used with permission RightsLink(R) Account 3000915597)

Abstract: The application of atomic layer deposition (ALD) to metal–organic frameworks (MOFs) offers a promising new approach to synthesize designer functional materials with atomic precision. While ALD on flat substrates is well established, the complexity of the pore architecture and surface chemistry in MOFs present new challenges. Through in situ synchrotron X-ray powder diffraction, we visualize how the deposited atoms are localized and redistribute within the MOF during ALD. We demonstrate that the ALD is regioselective, with preferential deposition of oxy-Zn(II) species within the small pores of NU-1000. Complementary density functional calculations indicate that this startling regioselectivity is driven by dispersion interactions associated with the preferential adsorption sites for the organometallic precursors prior to reaction.

Pictures from China ALD 2016

Last week (October 16 to 19) the 3rd International Conference on ALD Applications & 2016 China ALD Conference was held. It was a four-day meeting, dedicated to the fundamentals and applications of ALD technology in various fields. Here below are some pictures from Twitter shared by Simon Elliott today.

Program: LINK




Final Agenda: ALD Symposium at SEMICON Europa, 25 October 2016

The 5th ALD Symposium at SEMICON Europa will be organized by ALD Lab Saxony, 25th of October in Grenoble France.

The intention of the symposium is to bring together researchers, process developers, tool makers, precursor suppliers and applicants of this exciting technology that can be summarized as Atomic Layer Processing.


Date: 25 October 2016
Time: 9:00–13:00
Location: Le Bans
Web : http://www.semiconeuropa.org/ald-lab
9:00

Welcome Address and Introduction of ALD Lab Saxony
Johann W. Bartha, TU Dresden, IHM
9:20

Growth of Ultrathin Cu Films Deposited by Atomic Layer Deposition for BEOL Application
Stefan E. Schulz, Fraunhofer ENAS
9:40

Development of Spatial ALD at the LMGP: Application to Transparent Conductive Materials
M. David Muñoz-Rojas, LMGP, Grenoble INP
10:00

How Fast Can We Go : Thermal ALD with Millisecond Purge Times
Jacques C. S. Kools, Encapsulix SAS
10:20

Atomic Layer Etching
Fred Roozeboom, TNO – Solliance, TU Eindhoven
10:40

Break
11:20

Visualization of Nucleation Mechanism During Atomic Layer Deposition with Scanning Probe Techniques
Marion Geidel, Johanna Reif, TU Dresden, IHM
11:40

Plasma ALD of Conductive Nitrides and Metals
Harm C. M. Knoops, Oxford Instruments
12:00

Novel liquid precursor sources for conformal Ln2O3 ALD and coatings
Nicolas Blasco, Air Liquide
12:20

ALD for Optical Applications
Tero Pilvi, Picosun Oy
12:40

Closing Address
Johann W. Bartha, TU Dresden, IHM

Video interview with Er-Xuan Ping of Applied Materials on Emerging memories and the new material


Here is a very interesting video interview by G Dan Hutcheson (weVISION) with Er-Xuan Ping, from Applied Materialson emerging memory and the materials to make them. Thanks to Terry Francis for sharing this one!

Emergent memories and the new materials needed to make them ... a conversation with Er-Xuan Ping of Applied Materials



[VIDEO LINK] Abstract: Emergent semiconductor memory technologies are finally breaking out of lab and getting into the fab. Non-volatile 3D NAND with its vertical memory stacks is reaching computers and data centers in volume. Intel and Micron’s 3D Xpoint™ is started its volume production ramp in 2016 and headed to a data center near you. Embedded MRAM is now on the major foundries roadmaps. Each of these technologies has required significant breakthroughs in materials technology. Some new materials are not friendly to manufacturing, so ways to process them had to be worked out. In other cases, such as the selector in 3D Xpoint, materials had to be developed that could withstand the infinite switching life requirements as these non-volatile memory cells got close to the processor. They also had to deal with changes in the physics, such as the adoption of space-charge. The same has been true for VNAND, where charge-trap technology is used in favor of the more conventional floating gate memory cells used in planar NAND. New materials have also come to the rescue for DRAMs, which continue to need planar shrinks. We find out why this is happening and what is needed in this conversation with Er-Xuan Ping, from Applied Materials (AMAT), where he’s Managing Director of Memory and Materials Technologies in the Advanced Product and Technology Development Group. He has previously held positions at Sandisk and Micron Technology. Dr. Ping holds a Ph.D. in electrical engineering from Iowa State University.

TU Eindhoven breaks world record for nanowire solar cells

TU Eindhoven reports: Researchers at TU Eindhoven have gained a new world record for the efficiency of nanowire-based solar cells: 17.8 percent. These types of solar cell have been around for just a few years but in terms of efficiency are catching up to other types and are thus very promising for the sustainable energy supply. TU/e researcher Dick van Dam will be awarded his doctorate on 17 October for his research that makes this possible. 
A visual of the structure of a nanowire solar cell (TU Eindhoven)
In contrast to other types of solar cell, nanowire solar cells are not composed of solid, flat layers. They comprise a ‘lawn’ of vertical wires of around two hundred nanometers thick, or 300 times thinner than a human hair. These nanowires capture the light and convert it into electricity. Since their discovery less than a decade ago, their efficiency has risen to 17.8 percent, an increase that other types of solar cell took forty years to achieve.

The previous record, of 15.3 percent, was held by Sweden’s Lund University. The efficiency percentage indicates how much of the sunlight that falls on the cell can be used as electrical energy. Theoretically, the limit for nanowire solar cells is 46 percent, considerably higher than that for flat, layered solar cells at 34 percent. In addition, nanowire cells are quite cheap to produce by comparison.

So there is still a lot of room for improvement. Van Dam hopes that his record falls quickly; he reckons that twenty percent is on the cards within the next few years. But if the nanowire cells are to become commercially viable, they need to be price competitive. And to be that, a yield of around 25 percent is needed along with an improved production process. In terms of costs, big gains can be made by switching from the relatively rare material indium phosphide to the much more abundant semiconductor silicon.

Producing the world’s most efficient nanowire cell is partially the result of the theoretical and experimental work of Dick van Dam. His fellow researcher Yingchao Cui manufactured the solar cell in the cleanroom of TU/e. Van Dam discovered in his research that the nanowire cell absorbed up to twenty percent more light because the wires acted as a kind of antenna to capture the light. Additionally, they are using five times less material than flat solar cells. That has benefits since less material means lower risk of errors in the material that can cause a reduced energy yield. Another factor that contributes to the performance of the nanowire cell is that the wires concentrate the light. Van Dam’s research has revealed that the diameter of the nanowires determines how well the light can be concentrated. Dick van Dam receives his PhD on 17 October in the Photonics and Semiconductor Nanophysics group of the Applied Physics department. In 2012 he was the series winner of the TV quiz ‘Met het mes op tafel’, a kind of mix of poker and knowledge.

Saturday, October 22, 2016

The Joint Euro CVD - Baltic ALD 2017 Conference, 11-14th of June 2017, Sweden

11-14th of June 2017 the Joint Euro CVD - Baltic ALD 2017 Conference will be organized in Linköping Sweden. The Exhibition is filling up so please contact us to secure a space in the Industry Exhibition and we are also happy for the support in sponsoring committed so far by Companies in the CVD/ALD equipment and materials supply chain.

Important dates:

  • Abstract submission opens: 10 November 2016
  • Abstract deadline: 20 January 2017
  • Author notification: 10 February 2017
  • Registration opens: 2 January 2017
  • Early bird registration until: 1 March 2017

Please contact Henrik Pedersen (henrik.pedersen@liu.se) or Jonas Sundqvist (jonas.sundqvist@baldengineering.com) for sponsoring and to take part in exhibition of this event!
   

Signed Sponsors and Exhibitors October 2016


 

ALD Financial News 3Q/2016

Recent top Financial news in Atomic Layer Deposition as reported by BALD Engineering under ALD Financial News.

ALD Equipment Market - Global Industry Analysis Size Share Growth Trends and Forecast 2016 - 2024

The global ALD equipment market stood at US$875.0 mn in 2015. Exhibiting a CAGR of 29.4% between 2016 and 2024, the market is poised to reach US$8.58 bn by the end of 2024.

Lam Research see growth in atomic level processing : ALD & ALE

"Our differentiated product pipeline momentum continues apace most recently with metal ALD in 3D NAND and atomic level etch in dielectric foundry applications. In addition, our business teams are achieving more than 90% success in critical defenses and new market application penetrations combined which is extremely rewarding. This is an exciting time to be part of the semiconductor ecosystem, no doubt." 

2016 IC market forecast raised from -2% to +1%

IC Insights will release its October Update to the 2016 McClean Report later this week. This Updateincludes a review of IC Insights’ latest 2016 IC market forecast, an update on the rebounding DRAM market, and an extensive analysis of the optoelectronics, sensor/actuator, and discrete (O-S-D) markets. An excerpt from the October Update, describing the upgraded 2016 IC market forecast, is shown below...

China plans 3D NAND production next year with ALD

According to media reports (Digitimes and Electronics Weekly) Yangtze River Storage Technology (YRST) the Tsinghua Unigroup Chinese memory company, will start producing 3D NAND at the end of next year.

ASM International will host an investor conference call and web cast on Thursday, October 27, 2016

ASM International will host an investor conference call and web cast on Thursday, October 27, 2016 at 15:00 Continental European Time (9:00 a.m. - US Eastern Time).

Dutch SoLayTec book more orders for Spatial ALD PV PERC Systems 

SoLayTec said Monday that it had received a follow-on order for three next generation solar ALD systems from an unnamed solar cell manufacturer in Asia and is expected to ship it in the next six months. The company, originally a spin-off firm of Dutch research organization TNO, launched in 2010. It has booked 22 ALD system orders since its inception, of which 15 are for mass production.



Veeco Announces Reduction in ALD Technology Investments

Veeco Instruments Inc. (VECO) today announced additional cost reduction initiatives with the decision to significantly reduce future investments in its Atomic Layer Deposition ("ALD") technology development. This action reflects the company's ongoing focus to balance technology investments with the potential for associated revenue realization.  

Samsung & LG Move To ALD for OLED encapsulation may push Applied Materials out of the market

Samsung Electronics and LG Display have indicated a move to atomic layer deposition for encapsulation of flexible OLED displays.
BRIEF Jusung Engineering Co Ltd : Says it signs contract with LG Display Co., Ltd., to provide display manufacture equipment .Contract amount of 38.27 billion won. [REUTER]



“We are delighted that our customer has completed the evaluation of our QXP-8300 ALD system for the manufacturing of the most advanced high performance memory devices. The QXP-8300 ALD system enables the manufacturing of advanced films with excellent electrical and device properties. AIXTRON is looking forward to further support its customer’s memory development plans by providing the production equipment to address the challenges of a rapidly evolving industry,” says Bill Bentinck, Vice President and General Manager of AIXTRON Inc., USA.


 

 

 

Friday, October 21, 2016

Symposium of ALD Lab Saxony SEMICON Europa, 25 October

The 5th ALD Symposium at SEMICON Europa will be organized by ALD Lab Saxony, 25th of October in Grenoble France.
The intention of the symposium is to bring together researchers, process developers, tool makers, precursor suppliers and applicants of this exciting technology that can be summarized as Atomic Layer Processing.




Date: 25 October 2016
Time: 9:00–13:00
Location: Le Bans

9:00
Welcome Address and Introduction of ALD Lab Saxony
Johann W. Bartha, TU Dresden, IHM
9:20
Growth of Ultrathin Cu Films Deposited by Atomic Layer Deposition for BEOL Application
Stefan E. Schulz, Fraunhofer ENAS
9:40
Development of Spatial ALD at the LMGP: Application to Transparent Conductive Materials
M. David Muñoz-Rojas, LMGP, Grenoble INP
10:00
How Fast Can We Go : Thermal ALD with Millisecond Purge Times
Jacques C. S. Kools, Encapsulix SAS
10:20
Atomic Layer Etching
Fred Roozeboom, TNO - Solliance, TU Eindhoven
10:40
Break
11:20
Visualization of Nucleation Mechanism During Atomic Layer Deposition with Scanning Probe Techniques
Marion Geidel, Johanna Reif, TU Dresden, IHM
11:40
Plasma ALD of Conductive Nitrides and Metals
Harm C. M. Knoops, Oxford Instruments
12:00
TBA
Air Liquide
12:20
ALD for Optical Applications
Tero Pilvi, Picosun Oy
12:40
Closing Address
Johann W. Bartha, TU Dresden, IHM

Thursday, October 20, 2016

Workshop on Hybrid Materials by ALD / MLD & Iberian ALD

The workshop on hybrid materials by ALD or MLD on January 23-25 in San Sebastian (Spain) aims at bringing together researchers and industry that are already active or intend to launch activities in this research field. It will serve as a presentation and discussion platform, hopefully sparking new collaborations and business opportunities. In addition to the main scope of the workshop, we will dedicate a session to ALD or MLD activities on the Iberian Peninsula.
 
Co-chairs and local hosts:
  • Prof. Mato Knez
  • Dr. Mercedes Vila Juárez

Additional local hosts: 
  • Itxasne Azpitarte Iraculis
  • Mikel Beltrán Hernández
  • Julene Lure Berregui
See the website for details:

http://www.nanogune.eu/event/ald-workshop-hybrid-materials






 

Wednesday, October 19, 2016

New sponsors & exhibitors for The Joint EuroCVD & Baltic ALD 2017 in Sweden

We are very happy for the support and interest in the Joint EuroCVD & Baltic ALD 2017 conference in Sweden 11-14 of June.



Founded in 2003, as Cambridge Nanotech, Ultratech/CNT grew directly out one of the foremost atomic layer deposition (ALD) research groups in the world: the Gordon Lab at Harvard University.

With hundreds of systems shipped for research and development, Ultratech/CNT has become the leading ALD supplier to academic and industrial institutions worldwide. Their products have been used to produce over 1000 peer-reviewed academic papers (cambridgenanotechald.com)

The ALD division of Ultratech - Cambridge Nanotech (CNT) will be supporting the conference as Gold sponsor and Annealsys from France as Copper Sponsor.


Annealsys manufactures Rapid Thermal Processing (RTP) and Chemical Vapor Deposition (CVD/ALD) systems. Our customers are Research and Development laboratories and companies for small scale production applications. The company Annealsys was established in 2004 in Montpellier, France (annealsys.com)

In addition the industry exhibition is filling up and Swedish Fabsupport will join the other companies and institutions in the exhibition that will be held in parallel at the conference centre.



Fab Support has been active in the semiconductor and III-V market with a large number of products and services since 1995. With the assembled experience and knowledge Fab Support can offer a wide range of products and comprehensive services for small and large projects and supply of ALD and CVD Precursors in Scandinavia and Germany (www.fabsupport.se).

Thursday, October 13, 2016

University of Minnesota has developed Atomic Layer Lithography by ALD to create long narrow nano gaps

We have entered the era of atomic level processing by the introduction of atomic layer deposition (ALD), etching (ALE), cleaning (ALC) and so on in semiconductor manufacturing for advanced CMOS and Memory devices. Especially because of the delay of EUV Lithography ALD has proven to save continued device scaling by implementation in multiple patterning techniques so that scaliong can go on.

Here is yet another interesting technique where ALD is used in a sense to create extremely narrow channels with atomic precision governed by ALD - Atomic Layer Lithography.

As reported by Nanotechweb - Gold nanogap electrodes trap tiny particles

Researchers at the University of Minnesota in Minneapolis have invented a new ultralow power technique to trap nanoparticles in the sub-10 nm gaps between two gold electrodes. The technique, which overcomes many of the problems encountered in traditional dielectrophoresis experiments, could help make portable biosensors.

(a) Fabrication scheme using atomic layer lithography. An Al2O3 layer of desired thickness (that is, gap size) is deposited using ALD on a patterned gold film. A second layer of gold is evaporated, such that the first and second metal layers are not in contact. The top gold layer is then peeled off using adhesive tape, exposing the Al2O3-filled nanogap between the two gold electrodes. (b) An array of nanogap electrodes of desirable length is patterned by photolithography and ion milling on a 1 cm long nanogap. Courtesy: Nanotechweb & Nano Lett.

Nanotechweb reports that in 2011, a student (Xiaoshu Chen) figured out how to make vertically-oriented gaps as small as 1 nm over a centimeter length scale, which accordingly is not possible by any other method.

“As a result, we were able to make long and narrow gaps using atomic layer deposition (ALD), which is a robust manufacturing technique for coating ultra-thin films to construct insulating gaps in the sidewalls of patterned metals (see figure above). Thanks to the nature of ALD, we can precisely control the width of the gap, and after depositing metals on the other side of the ALD coating, nanogaps naturally form."

“What makes this atomic layer lithography technique so unique and appealing is that we can expose the nanogaps using just Scotch tape, he tells nanotechweb.org. “This was a rather surprising discovery that Chen made. Since many labs around the world have access to ALD tools (and indeed Scotch tape!), this means that other researchers could practise our technique, easily and inexpensively.” 

Full article:  Gold nanogap electrodes trap tiny particles

Wednesday, October 12, 2016

HP reports low energy Memristor precisely tuned by ALD

Nanotechweb reports: Researchers at Hewlett Packard Labs in California, the University of Massachusetts Amherst and Seoul National University are reporting on a new low-current, self-rectifying memristor made from titanium ion electron traps in a niobium oxide matrix. The device might be used as an embedded memory on low-power chips and for storing data in Internet of Things (IoT) appliances.
 
 
The memristor device (Pt/NbOx/TiOy/NbOx/TiN) is based on titanium ion electron traps in a niobium oxide (NbOx) matrix deposited by ALD. ALD allows control of the sub-atomic monolayers in the structure and so precisely control how the Ti traps are distributed in the NbOx matrix. (Figure from Nanotechweb.org)
 
According to the team, led by Stanley Williams, also of Hewlett Packard Labs, the new memristor could be used to make embedded memories for low-power chips, such as ASICS. “Since the technology is fully CMOS compatible, it might also be used to store data in or near sensors at the edge of IoT devices,” says Kim. “Eventually, it might find use as a stand-alone non-volatile memory for low-power systems.”

Cicada wing inspire antireflective structures for solar cells

Inspired by cicada wings, a team of researchers in China form Shanghai Jiao Tong University biomorphic have fabricated TiO with antireflective structures (ARSs) using and a simple and inexpensive sol-gel templating process.

A 17-year cicada, Magicicada, Robert Evans Snodgrass, 1930 (Wikipedia)
 
The technology could be used into maximise photon input in solar cells. The work was published in Applied Physics Letters as open access as below:

Angle dependent antireflection property of TiO inspired by cicada wings (OPEN ACESS)

Imran Zada, Wang Zhang, Yao Li, Peng Sun, Nianjin Cai, Jiajun Gu, Qinglei Liu, Huilan Su and Di Zhang
 
Appl. Phys. Lett. 109, 153701 (2016); http://dx.doi.org/10.1063/1.4962903
 
Inspired by cicada wings, biomorphic TiO with antireflective structures (ARSs) was precisely fabricated using a simple, inexpensive, and highly effective process combined with subsequent calcination. It was confirmed that the fabricated biomorphic TiO not only effectively inherited the ARS but also exhibited high-performance angle dependent antireflective properties ranging from normal to 45°. Reflectance spectra demonstrated that the reflectivity of the biomorphic TiO with ARSs gradually changed from 1.4% to 7.8% with the increasing incidence angle over a large visible wavelength range. This angle dependent antireflective property is attributed to an optimized gradient refractive index between air and TiO via ARSs on the surface. Such surfaces with ARSs may have potential application in solar cells.

Dutch SoLayTec book more orders for Spatial ALD PV PERC Systems

PV Magazine reports: Dutch company SoLayTec continues to book orders for its atomic layer deposition (ALD) systems.

The manufacturer, part of Arizona-based Amtech Systems, Inc., develops, delivers and services the machines for atomic layer deposition on solar cells worldwide. 
 

SoLayTec has booked 22 ALD system orders since its inception. (PV Magazine)

SoLayTec said Monday that it had received a follow-on order for three next generation solar ALD systems from an unnamed solar cell manufacturer in Asia and is expected to ship it in the next six months. The company, originally a spin-off firm of Dutch research organization TNO, launched in 2010. It has booked 22 ALD system orders since its inception, of which 15 are for mass production.

"This latest order is another indication of the growing recognition in the solar industry of the outstanding performance of SoLayTec's spatial ALD system to improve the cost of ownership of our customers' PERC cell processes,” said Fokko Pentinga, CEO and president of Amtech.

Read more: http://www.pv-magazine.com/news/details/beitrag/solaytec-finds-buyers-for-ald-systems_100026445/#ixzz4Msh8KUjV

TU Graz receive ERC grant to develop smart artificial skin using CVD and ALD

Nanowerk News Reports: Skin is one of the main human sensory organs. Through our skin we feel humidity, temperature and pressure – sensory impressions which are passed on to our brains as signals. The technological imitation of a system such as human skin and its information processing presents an enormous challenge to the technology of intelligent materials. 


Anna Maria Coclite’s research area is in materials science; she is the first woman at all to receive an ERC Grant at TU Graz (Nanowerk)

This challenge is being met by chemist Anna Maria Coclite from the Institute of Solid State Physics at TU Graz, who is receiving a grant from the European Research Council to the amount of 1.5m euros for her research project to develop smart artificial skin.

To develop this hybrid material Coclite uses the initiated chemical vapor deposition method (iCVD), which was developed at the Massachusetts Institute of Technology. Coclite combines this with the atomic layer deposition method (ALD). The development of the iCVD method, which Coclite brought from the USA to TU Graz and which is in use only in four universities in Europe, enables the necessary material manipulations to be carried out on the nanoscale.
 

ALD used in new 3D design for mobile microbatteries

Nanowerk News reports: In the race towards miniaturization, a French-US team-mostly involving researchers from the CNRS, Université de Lille, Université de Nantes and Argonne National Laboratory (US) as part of the Research Network on Electrochemical Energy Storage (RS2E)1-has succeeded in improving the energy density of a rechargeable battery without increasing its size (limited to a few square millimeters in mobile sensors).

Atomic Layer Deposition of Functional Layers for on Chip 3D Li-Ion All Solid State Microbattery

Manon Létiche, Etienne Eustache, Jeremy Freixas, Arnaud Demortière, Vincent De Andrade, Laurence Morgenroth, Pascal Tilmant, François Vaurette, David Troadec, Pascal Roussel, Thierry Brousse and Christophe Lethien
 
Advanced Energy Materials, Version of Record online: 11 OCT 2016

Supporting Information : LINK
 
 (Graphical abstract Advanced Energy Materials)
 
Nowadays, millimeter scale power sources are key devices for providing autonomy to smart, connected, and miniaturized sensors. However, until now, planar solid state microbatteries do not yet exhibit a sufficient surface energy density. In that context, architectured 3D microbatteries appear therefore to be a good solution to improve the material mass loading while keeping small the footprint area. Beside the design itself of the 3D microbaterry, one important technological barrier to address is the conformal deposition of thin films (lithiated or not) on 3D structures. For that purpose, atomic layer deposition (ALD) technology is a powerful technique that enables conformal coatings of thin film on complex substrate. An original, robust, and highly efficient 3D scaffold is proposed to significantly improve the geometrical surface of miniaturized 3D microbattery. Four functional layers composing the 3D lithium ion microbattery stacking has been successfully deposited on simple and double microtubes 3D templates. In depth synchrotron X-ray nanotomography and high angle annular dark field transmission electron microscope analyses are used to study the interface between each layer. For the first time, using ALD, anatase TiO2 negative electrode is coated on 3D tubes with Li3PO4 lithium phosphate as electrolyte, opening the way to all solid-state 3D microbatteries. The surface capacity is significantly increased by the proposed topology (high area enlargement factor – “thick” 3D layer), from 3.5 μA h cm−2 for a planar layer up to 0.37 mA h cm−2 for a 3D thin film (105 times higher).

Friday, October 7, 2016

Veeco Announces Reduction in ALD Technology Investments

Veeco Announces Reduction in ALD Technology Investments and Guides Third Quarter 2016 Revenue to High End of Guidance Range

  • Significantly reducing future investments in Atomic Layer Deposition ("ALD") technology development
  • ALD cost reductions expected to result in annualized savings of approximately $10 million
  • Expecting to record pre-tax charges of between $56 and $62 million in Q3 2016, the vast majority of which are non-cash impairment charges
  • Expecting Q3 2016 revenue to be at the high end of previously announced guidance range of $70 million to $85 million

Plainview, NY -- 10/05/16 -- Veeco Instruments Inc. (VECO) today announced additional cost reduction initiatives with the decision to significantly reduce future investments in its Atomic Layer Deposition ("ALD") technology development. This action reflects the company's ongoing focus to balance technology investments with the potential for associated revenue realization.

ALD cost reduction activities are expected to be complete by year end 2016 and are in addition to the previously announced restructuring plans, which targeted $20 million in annualized savings. In total, these initiatives are expected to generate $30 million in annualized savings.

Wednesday, October 5, 2016

ALD 'Nano-kebab' fabric breaks down chemical warfare agents

Angewandte Chemie International Edition has a new interesting paper for all us ALD and Kebab lovers. Check out all the details in the free to download supporting information. A custom ALD system was used for all the ALD.

Researchers have created a fabric material containing nanoscale fibers that are capable of degrading chemical warfare agents (CWAs). Uniform coatings of metal-organic frameworks (MOFs) were synthesized on top of the nanofibers, forming unique kebab-like structures. These MOFs are what break down the CWAs, rendering them harmless. Full story (Picture credit NC State University)


Ultra-Fast Degradation of Chemical Warfare Agents Using MOF–Nanofiber Kebabs

Junjie Zhao, Dennis T. Lee, Robert W. Yaga, Morgan G. Hall, Heather F. Barton, Ian R. Woodward, Christopher J. Oldham, Howard J. Walls, Gregory W. Peterson,* and Gregory N. Parsons*

Angewandte Chemie International Edition (2016). DOI: 10.1002/anie.201606656

The threat associated with chemical warfare agents (CWAs) motivates the development of new materials to provide enhanced protection with a reduced burden. Metal–organic frame-works (MOFs) have recently been shown as highly effective catalysts for detoxifying CWAs, but challenges still remain for integrating MOFs into functional filter media and/or protective garments. Herein, we report a series of MOF–nanofiber kebab structures for fast degradation of CWAs. We found TiO2 coatings deposited via atomic layer deposition (ALD) onto polyamide-6 nanofibers enable the formation of conformal Zr-based MOF thin films including UiO-66, UiO-66-NH2, and UiO-67. Cross-sectional TEM images show that these MOF crystals nucleate and grow directly on and around the nanofibers, with strong attachment to the substrates. These MOF-functionalized nanofibers exhibit excellent reactivity for detoxifying CWAs. The half-lives of a CWA simulant compound and nerve agent soman (GD) are as short as 7.3 min and 2.3 min, respectively. These results therefore provide the earliest report of MOF–nanofiber textile composites capable of ultra-fast degradation of CWAs.

CNR-IMM Italy employes Sentech SI PEALD LL for new high-k materials

SENTECH Instruments GmbH of Berlin, Germany says that the Institute for Microelectronics and Microsystems (CNR-IMM) in Catania – which is part of the Physics and Matter Technologies Department (DSFTM) of the National Research Council of Italy (CNR) - is using an SI PEALD LL plasma-enhanced atomic layer deposition tool with an 8-inch wafer configuration to investigate the integration of novel high-k gate dielectrics and passivating layers on devices based on gallium nitride (GaN) and other wide-bandgap semiconductors. 


The picture above shows the SI PEALD LL in the CNR-IMM cleanroom. (picture released by Sentech)

SENTECH says that its proprietary true remote CCP (capacitively coupled plasma) source is especially suited to such low-temperature and no-damage applications. The special design of the plasma source allows only radicals to reach the wafer surface, whereas high-energy photons and ions are completely blocked.

In conjunction, IMM and SENTECH have signed a joint development agreement (JDA) with the aim of the developing and characterizing laminated layers. The use of alternative high-k materials enables the shrinking of devices while maintaining their capacitance and reducing the leakage current density. In particular, the growth of Al2O3-HfO2 laminated layers is among the most often used combinations for such applications.



"The SENTECH SI PEALD LL reactor is a high-performance and flexible system, allowing the production of several high-quality dielectric thin films, whose physical properties can be tailored upon changing their chemical composition," says Dr Raffaella Lo Nigro, who is the scientist in charge of the SI PEALD LL tool and of cooperation with SENTECH. Nigro has wide-ranging expertise in the synthesis of binary and complex thin films by chemical vapor deposition (CVD) methods for several microelectronic applications. "Possible applications of this activity are related not only to the integration of novel gate dielectrics and passivating layers on wide-bandgap semiconductors but also for RF devices based on graphene," he adds.

The results of this work have already been published in scientific papers (Raffaella Lo Nigro, Emanuela Schilirò, Giuseppe Greco, Patrick Fiorenza and Fabrizio Roccaforte, Thin Solid Films, vol601, 2016, p68-72). Nanolaminated Al2O3-HfO2 and Al2O3/HfO2 bilayer thin films have been grown by PEALD on silicon substrates. Morphological, crystalline and electrical properties of the layer stacks were analyzed after low-temperature deposition and high thermal treatment. The highly stable deposition of single films and multi-layer laminates using SENTECH PEALD together with very good uniformity of the deposition process over the whole wafer are essential prerequisites for applications such as designing new high-k dielectrics, says the firm.

Monday, October 3, 2016

TSMC to team with academics to develop 2 nm process technology

According to Digitimes, the world´s largest foundry TSMC will continue to innovate to keep Moore's Law alive. TSMC is in mass production of 16 nm and will enter 10 nm production by the end of 2016.

Steaming ahead TSMC will start risk production of 7 nm chips in early 2017 and is been engaged in the development of 5 nm process technology, according to co-CEO Mark Liu TSMC.

However, it does not stop there, TSMC has a team of  300-400 engineers dedicated in R&D for 3nm process and "...expects to team with academics to develop 2 nm process technology, according to Liu. With technology breakthroughs, TSMC is confident Moore's Law will continue to be relevant."