Tuesday, September 30, 2014

High-k für Alle - High-k/Metal Gates in the 2010s by Dick James Chipworks

High-k für Alle - A very nice overview of the High-k/Metal Gate transistors that enabled the mobile revolution that we have enjoyed in the last years. Atomic Layer Deposition is definitely part of this revolution amongst other semiconductor manufacturing techniques. Originally published for the Advanced Semiconductor Manufacturing Conference (ASMC), 2014 25th Annual SEMI (19-21 May 2014) by Dick James at Chipworks. Many of these has been published earlier by Chipworks and this paper covers them all and is therefore a very good overview for anyone into high-k and modern transistors.
 
 
Dick James Chipworks Inc., Ottawa, ON, Canada
 
 
Dick James Chipworks Inc., Ottawa, ON, Canada
Advanced Semiconductor Manufacturing Conference (ASMC), 2014 25th Annual SEMI, DOI: 10.1109/ASMC.2014.6846970
Abstract: 2007 saw the introduction of the first high-k/metal gate (HKMG) devices into the marketplace. This marked the return of metal-gate technology on silicon for the first time since polysilicon gates became ubiquitous in the early 1970s. Intel was the first to use high-k/metal gate in its 45-nm product. Other leading-edge manufacturers have now launched HKMG products in both gate-first and gate-last forms at the 28-nm node, and we have seen the first HKMG finFET products from Intel. In the near future we also expect to see the first 20-nm foundry products come onto the market. Chipworks, as a supplier of competitive intelligence to the semiconductor and electronics industries, monitors the evolution of chip processes as they come into commercial production. Chipworks has obtained parts from the leading edge manufacturers, and performed structural analyses to examine the features and manufacturing processes of the devices. The paper discusses some of the different transistor structures we have seen during the evolution of the HKMG technology, and examines the variety of 32/28-nm parts that have been introduced. We will also show more details of the Intel 22-nm finFETs.
 
The paper covers eight of the transistor structures that have made it into production in the last two years. HKMG processes in the industry have bifurcated into gate-first (IBM, GLOBALFOUNDRIES, Samsung) and gate-last (Intel, TSMC), with different implementations within each group. At the 20-nm node the majority of processes will migrate to planar gate-last, with IBM staying with the gate-first technology for their in-house products. Below 20-nm, we will start to see other finFET processes come into production
 
32/28 nm Transistors
  • Qualcomm Snapdragon 800 (TSMC 28HPM)
  • Rockchip RK3188 (GLOBALFOUNDRIES 28SLP)
  • Apple/Samsung A5 APL2498 (Samsung HKMG 32LP)
  • Apple/Samsung A7 APL0698 (Samsung HKMG 28LP)
  • IBM Power 7+ (IBM HKMG 32HP SOI)
  • Texas Instruments OMAP5432 (UMC Poly/SiON 28LP)


TSMC 28HPM PMOS transistor (Chipworks)
 
22 nm Transistors
  • Intel E-1230 Xeon
  • Intel Atom “Baytrail” SoC

Intel 22nm SoC transistor options (Chipworks)
 
 

The National Science Foundation Funds Three Penn State Teams to Study Two-Dimensional Materials

 As reported by Newswise — Through the National Science Foundation’s Emerging Frontiers in Research and Innovation (EFRI) program, Penn State has been awarded $4 million over the next four years to lead two teams of investigators and support members of a third team in the new field of 2D crystals and layered materials.

A material that is only a single atomic-layer thick can have completely different properties than its bulk counterpart. A new field of nanoscale science and engineering is emerging to study the wide variety of two-dimensional materials and what happens when they are stacked one on top of the other. Potential applications include energy harvesting and storage, sensing, electronics and photonics, and bioengineering.

“There is a lot of interest in 2D materials beyond graphene, especially when considering stacking to form heterostructures because they can lead to phenomenal properties,” said Joshua Robinson, Corning Faculty Fellow of Materials Science and Engineering and associate director of Penn State’s Center for Two-dimensional and Layered Materials (2DLM). “I think we have a variety of excellent ideas in these novel materials, which is why we did so well with the EFRI.”
 
Crystalline large area WS2 have been grown directly on SiO2/Si substrates. The top left panel exhibits a high resolution transmission electron microscopy (HRTEM) image of the edge of a single-layer WS2 film. The top left panel depicts a schematic representation of the as grown WS2 film. A photograph of a WS2 film transferred onto a substrate is shown on the right panel, exhibiting the high contrast of the WS2 over SiO2/Si (films are cyan in color). (Picture from Ana Laura Elias, Penn State, Newswise)

The EFRI awards fund interdisciplinary teams of researchers in rapidly advancing fields of fundamental engineering research. The 2014 awards, called 2-DARE, for Two-dimensional Atomic-layer Research and Engineering, were awarded to nine teams in the U.S., three of which include Penn State researchers.

• “2D Crystal Formed by Activated Atomic Layer Deposition” is led by Joan Redwing, professor of materials science and engineering and electrical engineering, with co-PIs Ying Liu, Nasim Alem, Thomas Jackson and Suzanne Mohney, all faculty at Penn State. The award is for $1,964,494.

“Our project is aimed at developing Chemical Vapor Deposition (CVD) and Atomic Layer Deposition (ALD) processes to synthesize 2D materials. The 2D crystal films will be explored for applications in thin film electronics and superconductivity,” said Joan Redwing.

• "Ultra-low Power, Collective-state Device Technology Based on Electron Correlation in Two-Dimensional Atomic Layers" is led by Joshua Robinson with Co-PIs Suman Datta and Roman Engel-Herbert of Penn State, James Freericks, Georgetown University and Eva Andrei, Rutgers University. The award is for $2,000,000.

“This program will develop a ‘post silicon’ transistor based on the principal of strong electron correlation and associated phase transitions in two-dimensional materials,” said Robinson.

In addition, a third funded project, “Crystalline Atomically Thin Layers for Photonic Applications,” is a multidisciplinary collaboration between Rensselaer Polytechnic Institute, Penn State, Virginia Polytechnic Institute and State University, and Washington University in St. Louis investigating 2D material synthesis, condensed matter theory, and optical engineering, with the goal of developing a new class of photonic devices. Led by RPI, this $2,000,000 project includes Penn State co-PIs Zhiwen Liu, professor of electrical engineering, and research associate in physics Ana Laura Elias Arriaga. The Penn State subaward is $740,000.

“The goal of our project is to study the nonlinear optical properties of two-dimensional transition metal dichalcogenides and investigate their photonic applications. These 2D materials have very large optical nonlinearity, and, for example, can produce strong second harmonic generation. The combination of their novel optical properties and atomic thickness creates a unique opportunity for using these materials to ‘dress’ photonic devices and provide new functionalities,” Zhiwen Liu said.

“2D expertise is very diverse at Penn State and includes electronics, bio, optics, synthesis, characterization and theory,” said Mauricio Terrones, director of the 2DLM Center and professor of physics, chemistry and materials science and engineering. “Including students, post-docs and faculty, we have about 50 people involved.”

With recent publications in high impact journals,such as Nature Chemistry, Nature Communications, Nature Materials, Nano Letters and ACS Nano, Penn State researchers are taking a leading role in the exploration of 2D materials, Terrones said. Recently, the Department of Physics hired two new faculty members to complement the expertise already available, he added.

In addition to the National Science Foundation EFRI 2-DARE awards, 2DLM Center faculty have been successful with several other high profile awards from the Army, Air Force, and Defense Threat Reduction Agency and have recently put an emphasis on industry-driven research through a variety of industrial partnerships.

University of Michigan showcases 10x increase in the lifetime of blue phosphorescent OLED

 As reported by University of Michigan "Live long and phosphor: Blue LED breakthrough for efficient electronics" In a step that could lead to longer battery life in smartphones and lower power consumption for large-screen televisions, researchers at the University of Michigan have extended the lifetime of blue organic light emitting diodes by a factor of 10.

Blue OLEDs are one of a trio of colors used in OLED displays such as smartphone screens and high-end TVs. The improvement means that the efficiencies of blue OLEDs in these devices could jump from about 5 percent to 20 percent or better in the near future.
 
 
The blue PHOLED consisted of a thin film of light-emitting material sandwiched between two conductive layers—one for electrons and one for holes, the positively charged spaces that represent the absence of an electron. Light is produced when electrons and holes meet on the light-emitting molecules. (Picture from University of Michigan FLICKR)
 
 
 
Tenfold increase in the lifetime of blue phosphorescent organic light-emitting diodes

Yifan Zhang, Jaesang Lee & Stephen R. Forrest    
Nature Communications 5, 5008, 25 September 2014, doi:10.1038/ncomms6008 
Abstract:
Organic light-emitting diodes are a major driving force of the current information display revolution due to their low power consumption and potentially long operational lifetime. Although electrophosphorescent organic emitters have significantly lower power consumption than fluorescent emitters, the short lifetime of electrophosphorescent blue devices has prevented their application in displays for more than a decade. Here, we demonstrate a novel blue electrophosphorescent device with a graded dopant concentration profile in a broadened emissive layer, leading to a lower exciton density compared with a conventional device. Thus, triplet-polaron annihilation that leads to long-term luminescent degradation is suppressed, resulting in a more than threefold lifetime improvement. When this strategy is applied to a two-unit stacked device, we demonstrate a lifetime of 616±10 h (time to 80% of the 1,000 cd m−2 initial luminance) with chromaticity coordinates of [0.15, 0.29], representing a tenfold lifetime improvement over a conventional blue electrophosphorescent device.

Friday, September 26, 2014

The City College of New York report on ultimate replacement for flash memory

As reported by The City College of New York:  The quest for the ultimate memory device for computing may have just taken an encouraging step forward. Researchers at The City College of New York led by chemist Stephen O’Brien have discovered new complex oxides that exhibit both magnetic and ferroelectric properties.

Combining both properties is very exciting scientifically for the coupling that can occur between them and for the devices that might ultimately be designed, in logic circuits or spintronics. Combining these two properties in a single material, however, has proved difficult until now.

Using an innovative inorganic synthesis technique, an interdisciplinary team led by Dr. O’Brien, associate professor of chemistry at The City College and a member of the CUNY Energy Institute at CCNY, prepared a mineral previously unknown in nature.

X‐ray and electron micrographs of new discovery together with model of the crystal structure (Picture from The City College of New York)
 

“It’s based on common elements: barium, titanium and manganese,” said Professor O’Brien, who’s also an established nanotechnology researcher.

Together with collaborators from Drexel University, Columbia, Brookhaven National Laboratory and China’s South University of Science and Technology, they solved the structure and observed both magnetic and ferroelectric behavior. What they uncovered was a new Hollandite crystal group designated “multiferroic.”

Their finding confirmed a prediction by scientists dating back nearly two decades of the ferroelectric nature of such inorganic substances.

On multiferroics and their possible application, Professor O’Brien said: “The Holy Grail in this field is the combination of both magnetic and ferroelectric elements at room temperature with a sufficient magnitude of interaction.”

This, he added, could lead to the “ultimate replacement for flash memory” or smaller memory devices with massive storage capacities.

This concurs with British physicist J.F. Scott, who is regarded as the "the father of integrated ferroelectrics." A researcher at Cambridge University, Dr. Scott believes that multiferroics might hold the future for the ultimate memory device.

The CCNY findings appear in the Nature online journal “Scientific Reports.”

About The City College of New York
Since 1847, The City College of New York has provided low-cost, high-quality education for New Yorkers in a wide variety of disciplines. More than 16,000 students pursue undergraduate and graduate degrees in: the College of Liberal Arts and Sciences; the Bernard and Anne Spitzer School of Architecture; the School of Education; the Grove School of Engineering; the Sophie Davis School of Biomedical Education, and the Colin Powell School for Civic and Global Leadership. U.S. News, Princeton Review and Forbes all rank City College among the best colleges and universities in the United States.

Monday, September 15, 2014

Stanford engineering team has built a radio the size of an ant

A Stanford engineering team has built a radio the size of an ant, a device so energy efficient that it gathers all the power it needs from the same electromagnetic waves that carry signals to its receiving antenna.

Press release: A Stanford engineering team, in collaboration with researchers from the University of California, Berkeley, has built a radio the size of an ant, a device so energy efficient that it gathers all the power it needs from the same electromagnetic waves that carry signals to its receiving antenna – no batteries required.

Designed to compute, execute and relay commands, this tiny wireless chip costs pennies to fabricate – making it cheap enough to become the missing link between the Internet as we know it and the linked-together smart gadgets envisioned in the "Internet of Things."

"The next exponential growth in connectivity will be connecting objects together and giving us remote control through the web," said Amin Arbabian, an assistant professor of electrical engineering who recently demonstrated this ant-sized radio chip at the VLSI Technology and Circuits Symposium in Hawaii.
 

The tiny radio-on-a-chip gathers all the power it needs from the same electromagnetic waves that carry signals to its receiving antenna.

Much of the infrastructure needed to enable us to control sensors and devices remotely already exists: We have the Internet to carry commands around the globe, and computers and smartphones to issue the commands. What's missing is a wireless controller cheap enough to so that it can be installed on any gadget anywhere.

"How do you put a bi-directional wireless control system on every lightbulb?" Arbabian said. "By putting all the essential elements of a radio on a single chip that costs pennies to make."

Cost is critical because, as Arbabian observed, "We're ultimately talking about connecting trillions of devices."
 
More information:
A Power-Harvesting Pad-Less mm-Sized 24/60GHz Passive Radio with On-Chip Antennas, VLSI Technology and Circuits Symposium in Hawaii 2014.

 
Movie from Youtube.com (Stanford)

... and then just think what you could do with this radio chip on a MEMS mad bug like in the video below...


 
Researchers at Harvard and the Wyss Institute are developing a robotic bee that could be used to pollinate plants in the future. (Youtube.com)

Sunday, September 14, 2014

NEI Corporation and PneumatiCoat Tech. sign JDA to develop Spatial ALD for Lithium-ion Batteries

As reported by NEI Corporation: NEI Corporation and PneumatiCoat Technologies Sign Agreement to Jointly Develop and Market New Materials for Lithium-ion Batteries:

NEI Corporation has been a long trusted source for customized cathode and anode materials used in lithium batteries. The company specializes in developing new compositions and particle morphologies, including nanoscale particle engineering. NEI also has extensive battery research and characterization facility, which includes multi-channel cell testers. PneumatiCoat Technologies is a pioneer in autonomous coating systems that allow for high-rate manufacturing of ALD protected particles used in batteries and related energy storage devices. The ALD platform was originally developed in Europe, and PCT is now facilitating the transition of the ALD platform from slow and expensive to economical, robust and industrially-viable.
 

PCT’s Turn-key Systems for Li-ion Battery Materials "PneumatiCoat System Transforms Powder Flow to Rate Limiting Step" using Spatial ALD Technology.
 
The NEI-PCT agreement allows customers access to ALD coatings on a variety of battery material compositions, including mixed metal oxides (Lithium Manganese Nickel Oxide - LMNO, NMC, LMO, NCA); phosphates, silicates, titanates, sulfides, graphite and silicon-based active materials. Customers have the flexibility to not only investigate new compositions and chemistries, but also consider the use of different ALD coatings, both passive and lithium-ion conducting. The NEI-PCT relationship provides customers with access to the technology cost-effectively.
 
  
More detailed information on the ALD technology can be found in this PDF-presentation.
 
About PneumatiCoat Technologies LLC:

PneumatiCoat Technologies is the exclusive manufacturer of Atomic Layer Deposition (ALD) systems that operate using the low-cost spatial ALD production process, a must-have for integrating surface-customized materials into differentiated products in a cost-effective manner. The powder-on-demand system uses the principles of lean manufacturing to produce ALD-coated particles and objects. PCT provides services, systems, and products to support product customization and continuous improvement initiatives across a wide array of industries, and its innovative IP portfolio also includes exclusive rights to develop and manufacture ALD-enabled battery materials. PCT uses the trade name PICOSHIELD™.

ALD coating offers Kevlar(R) bullet proof armour better stab resistance

Chemistry World reposrts "Oxide armour offers Kevlar better stab resistance":

Scientists in the US have synthesised an ultrathin inorganic bilayer coating for Kevlar [abstract below] that could improve its stab resistance by 30% and prove invaluable for military and first-responders requiring multi-threat protection clothes.

Developed in 1965 by Stephanie Kwolek at DuPont, poly(p-phenylene terephthalamide) (PPTA), or Kevlar, is a para-aramid synthetic fiber deriving its strength from interchain hydrogen bonding. It finds use in flexible energy and electronic systems, but is most commonly associated with bullet-proof body armour.
 

The ALD TiO2/Al2O3 bilayer coating adds protection but the fibers still retain good durability and flexibility (Picture by Chemistry World)

However, despite its anti-ballistic properties, it offers limited cut and stab protection. In a bid to overcome this drawback, Sarah Atanasov, from Gregory Parsons’ group at North Carolina State University, and colleagues, have developed a TiO2/Al2O3 bilayer that significantly enhances the cut resistance of Kevlar fibers. The coating is added to Kevlar by atomic layer deposition, a low temperature technique with nanoscale precision.

Full Story: http://www.rsc.org/chemistryworld/2014/09/oxide-armour-kevlar-stab-resistance

Improved Cut-Resistance of Kevlar® using Controlled Interface Reactions during Atomic Layer Deposition of Ultrathin (<50Å) Inorganic Coatings

Sarah Elizabeth Atanasov, Christopher J Oldham, Kyle A. Slusarski, Joshua Taggart-Scarff, Shalli A. Sherman, Kris J. Senecal, Shaun F. Filocamo, Quinn P. McAllister, Eric D Wetzel and Gregory N Parsons

J. Mater. Chem. A, 2014, Accepted Manuscript
 
Conformal atomic layer deposition (ALD) of Al2O3 and TiO2 thin films on Kevlar®, poly(p-phenylene terephthalamide) (PPTA) fibers at 50 and 100°C affects the fiber cut resistance. Systematic studies of ALD coatings between 10 to 400Å thick formed at 50 and 100°C revealed excellent conformality, and trends in cutting performance depended on materials and process details. A 50Å/50Å TiO2/Al2O3 bilayer formed at 50°C increased cut resistance of PPTA by 30% compared to untreated fiber materials. In-situ infrared analysis shows that trimethylaluminum (TMA) Al2O3 precursor reacts sub-surface with PPTA and tends to degraded mechanical performance. The TiCl4 TiO2 precursor reacts to form a barrier that limits TMA/PPTA interactions, allowing a harder Al2O3 layer to form on top of TiO2. The thin ALD coatings do not substantially affect durability, flexibility, or weight of the PPTA, making ALD a potentially viable means to enhance the protective properties of Kevlar and other polymer fiber systems.
                           

Saturday, September 13, 2014

Study on band-gaps of a variety of classic ALD high-k´s via REELS

A good investigation on band-gaps of a variety of classic ALD high-k´s  - all amorphous NbO, TaO, ZrO, HfO, AlO, and SiO School of Electrical Engineering and Computer Science at Oregon State University and Intel. One of the key aspects of this work is that  the MIM devices have been fabricated on ultra-smooth ZrCuAlNi (ZCAN) amorphous metal bottom electrodes.

All high-k materials were deposited in a Picosun SUNALE R-150B reactor and SiO were deposited in a Cambridge NanoTech Fiji PEALD reactor. 

Investigation of the impact of insulator material on the performance of dissimilar electrode metal-insulator-metal diodes
Nasir Alimardani, Sean W. King, Benjamin L. French, Cheng Tan, Benjamin P. Lampert and John F. Conley Jr.
J. Appl. Phys. 116, 024508 (2014)

The performance of thin film metal-insulator-metal (MIM) diodes is investigated for a variety of large and small electron affinity insulators using ultrasmooth amorphous metal as the bottom electrode. Nb 2O5, Ta 2O5, ZrO2, HfO2, Al2O3, and SiO2 amorphous insulators are deposited via atomic layer deposition (ALD). Reflection electron energy loss spectroscopy (REELS) is utilized to measure the band-gap energy (EG) and energy position of intrinsic sub-gap defect states for each insulator. EG of as-deposited ALD insulators are found to be Nb 2O5 = 3.8 eV, Ta 2O5 = 4.4 eV, ZrO2 = 5.4 eV, HfO2 = 5.6 eV, Al2O3 = 6.4 eV, and SiO2 = 8.8 eV with uncertainty of ±0.2 eV. Current vs. voltage asymmetry, non-linearity, turn-on voltage, and dominant conduction mechanisms are compared. Al2O3 and SiO2 are found to operate based on Fowler-Nordheim tunneling. Al2O3 shows the highest asymmetry. ZrO2, Nb 2O5, and Ta 2O5 based diodes are found to be dominated by Frenkel-Poole emission at large biases and exhibit lower asymmetry. The electrically estimated trap energy levels for defects that dominate Frenkel-Poole conduction are found to be consistent with the energy levels of surface oxygen vacancy defects observed in REELS measurements. For HfO2, conduction is found to be a mix of trap assisted tunneling and Frenkel-Poole emission. Insulator selection criteria in regards to MIM diodes applications are discussed.
 
 

 
 
Equilibrium energy band diagrams with defect levels indicated. Dotted lines in (a) SiO and (b) AlO indicate distinct energy levels peaks determined by REELS. The shaded region in (c) HfO, (d) ZrO, (e) TaO, and (f) NbO represents the extended range of oxygen vacancy related defect levels as determined by REELS. Darker shading is meant to represent higher densities of defects. Finally, the thick dashed lines in (d) ZrO, (e) TaO, and (f) NbO indicate defect levels extracted from electrical measurements. (J. Appl. Phys. 116, 024508 (2014))
 

Scientists Come up with ALD Technique to Repair Atom-sized Graphene Defects

As reported by The Korea Bizwire: Ulsan National Institute of Science and Technology said on September 10 that its College of Natural Sciences professor Kim Kwanpyo, jointly with Lee Han-Bo-Ram (Incheon National University), and Zhenan Bao and Stacey F. Bent (Stanford University), succeeded in developing a technique to repair graphene’s line defects by selectively depositing metal.

Graphene is pure carbon in the form of a very thin, nearly transparent sheet, one atom thick, with excellent mechanical, electrical properties. In order to apply graphene to photovoltaic cells, displays, or sensors, it must be made in large scale.

But graphene tended to crack and produce boundary lines, making it difficult to maintain excellent material properties. To address this problem, there have been attempts to deposit metal on graphene surface, which was not effective as the metal deposition was not selective enough to defective parts.

By using platinum, the research team successfully demonstrated the selective deposition of metal at chemical vapor deposited graphene’s line defects, notably grain boundaries, by atomic layer deposition. As a result, the team proved three times improved electrode and hydrogen gas sensors at room temperature. The research outcome was reported on the September 2 issue of Nature Communications (see abstract below).

Kim Kwanpyo, the principal author, said, “We used platinum in the latest experiment. But other metals such as gold and silver may be used in subsequent experiments to repair graphene defects and the applications may be expanded to other areas.”
 

Kwanpyo Kim, Han-Bo-Ram Lee, Richard W. Johnson, Jukka T. Tanskanen, Nan Liu, Myung-Gil Kim, Changhyun Pang, Chiyui Ahn, Stacey F. Bent, & Zhenan Bao
 
One-dimensional defects in graphene have a strong influence on its physical properties, such as electrical charge transport and mechanical strength. With enhanced chemical reactivity, such defects may also allow us to selectively functionalize the material and systematically tune the properties of graphene. Here we demonstrate the selective deposition of metal at chemical vapour deposited graphene’s line defects, notably grain boundaries, by atomic layer deposition. Atomic layer deposition allows us to deposit ​Pt predominantly on graphene’s grain boundaries, folds and cracks due to the enhanced chemical reactivity of these line defects, which is directly confirmed by transmission electron microscopy imaging. The selective functionalization of graphene defect sites, together with the nanowire morphology of deposited ​Pt, yields a superior platform for sensing applications. Using ​Pt–graphene hybrid structures, we demonstrate high-performance hydrogen gas sensors at room temperature and show its advantages over other evaporative ​Pt deposition methods, in which ​Pt decorates the graphene surface non-selectively.
 
 
Selective ​Pt growth by ALD on one-dimensional defect sites of polycrystalline CVD graphene.
 

Thursday, September 4, 2014

AVS Conference on Atomic Layer Deposition (ALD 2015), Portland, Oregon June 28 - July 1

The AVS Topical Conference on Atomic Layer Deposition (ALD 2015) will be a three-day meeting (preceded by one day of tutorials), dedicated to the science and technology of atomic layer controlled deposition of thin films. Atomic layer deposition (ALD) is used to fabricate ultrathin and conformal thin film structures for many semiconductor and thin film device applications. A unique attribute of ALD is that it uses sequential self-limiting surface reactions to achieve control of film growth in the monolayer or sub-monolayer thickness regime. ALD is receiving attention for its potential applications from advanced electronics, microsystems, and displays to energy capture and storage, solid state lighting, biotechnology, security, and consumer products - particularly for any advanced technologies that require control of film structure in the nanometer or sub-nanometer scale.
 

ALD 2015 will feature a special focus on Industrialization of ALD, comprising a parallel track of sessions devoted to the technical and strategic challenges involved in moving ALD into products and competitive manufacturing across a wide variety of applications. ALD's unique capabilities promote tremendous diversity in potential applications with value for specialized, custom applications as well as mass manufacturing. Abstract submissions are encouraged in areas such as ALD manufacturability, equipment design, modeling and simulation, sensing and advanced process control, high throughput strategies, and emerging ALD applications to supplement a group of invited talks in these sessions.

As in past conferences, the presentations will follow an all-electronic format, and electronic copies of the presentations (including oral component, and questions and answers) will be made available in the form of copy-secured DVDs.

This year an ALE Workshop will be held in conjunction with ALD 2015; see details below.

Abstract Deadline: February 16, 2015
Prospective authors are invited to submit their abstracts online by February 16, 2015. Abstract submission will open November 2014.

Sponsorship/Exhibit Opportunities
Download the ALD Sponsorship/Exhibit Form

. . . . . . . . . . . . . . . . . . . . . . . . . . .

Conference Chairs:
Dae-Gyu Park
IBM T.J.Watson Research Center
dpark@us.ibm.com
Charles Winter
Wayne State University
chw@chem.wayne.edu

"40 Years of ALD in Finland - Photos, Stories" - view the exhibition at Semicon Europa

[as posted by Riikka Puurunen on LinkedIn] Originally built for Baltic ALD in May, Helsinki, the exhibition "40 Years of ALD in Finland: Photos, Stories" will travel to the ALD symposium at Semicon Europa, Grenoble, 7 Oct 2014 (http://www.semiconeuropa.org/node/2171).

In June, the exhibition visited the AVS-ALD 2014 conference in Kyoto.

Duplicates of the exhibition can currently be viewed at the University of Helsinki and VTT. At VTT, the exhibition has just been extended and will be up until the end of year 2014.

In addition, a small version is on display in the entrence to Fraunhofer IPMS-CNT High-k Devices Group office in Dresden, Germany. :-)
 
 
"40 Years of ALD in Finland: Photos, Stories"  in the entrence to Fraunhofer IPMS-CNT, High-k Devices Group office. 


Wednesday, September 3, 2014

UPDATE: Graphene depsoited by Xi'an Jiaotong University in a Picosun PEALD reactor

Graphene depsoited by Xi'an Jiaotong University in a Picosun ALD reactor.  A whole new method for the synthesis of graphene at low temperatures by means of remote plasma-enhanced atomic layer deposition (PEALD) is developed in this work and reported in the paper below.

Low-temperature remote plasma-enhanced atomic layer deposition of graphene and characterization of its atomic-level structure
Yijun Zhang,   Wei Ren,   Zhuangde Jiang,   Shuming Yang,   Weixuan Jing,   Peng Shi, Xiaoqing Wu and Zuo-Guang Ye 
J. Mater. Chem. C, 2014,2, 7570-7574
 

Graphene has attracted a great deal of research interest owing to its unique properties and many potential applications. Chemical vapor deposition has shown some potential for the growth of large-scale and uniform graphene films; however, a high temperature (over 800 °C) is usually required for such growth. A whole new method for the synthesis of graphene at low temperatures by means of remote plasma-enhanced atomic layer deposition is developed in this work. Liquid benzene was used as a carbon source. Large graphene sheets with excellent quality were prepared at a growth temperature as low as 400 °C. The atomic structure of the graphene was characterized by means of aberration-corrected transmission electron microscopy. Hexagonal carbon rings and carbon atoms were observed, indicating a highly crystalline structure of the graphene. These results point to a new technique for the growth of high-quality graphene for potential device applications.

UPDATE Press release from PICOSUN: Picosun Oy, the leading manufacturer of high quality Atomic Layer Deposition (ALD) equipment for global industries, reports the successful low temperature deposition of graphene, enabled by its PICOPLASMA™ remote plasma source system.
 
Only 400 oC deposition temperature, now demonstrated by an elite research group led by Prof. Wei Ren and Prof. Zuo-Guang Ye at Xi'an Jiaotong University, China, does not only widen the variety of graphene's applications but the employment of ALD, already a well-known and widely used method in the semiconductor industry markedly facilitates the material's penetration into modern micro- and nanoelectronics manufacturing.
 
"Groundbreaking results like the ones just obtained at Xi'an Jiaotong University naturally call for the latest, most cutting-edge technology and know-how on both ALD equipment manufacturing and process development. We at Picosun are proud that our four decades' cumulative experience in ALD system design has contributed to this significant leap forwards in graphene manufacturing, paving its way to real, tangible products in e.g. next generation consumer electronics, medical, ICT, and space applications," summarizes Juhana Kostamo, Managing Director of Picosun.
 
"We have used Picosun's Advanced PEALD (plasma-enhanced ALD) system to testify that atomic layer deposition is a viable new technique for the growth of high-quality graphene. More importantly, this work demonstrates the possibility of integration of graphene into semiconductor technologies for possible microelectronic device applications," states Prof. Wei Ren, director of the Electronic Materials Research Laboratory from Xi'an Jiaotong University, Xi'an, China.
 

Tuesday, September 2, 2014

Scalable LABVIEW program for multiprecursor flow-type ALD system

A scalable LABVIEW control program to control flow type atomic layer deposition (ALD) reactor from University of Illinois at Chicago. The program supports processing with multiple precursor delivery lines. The program logic is written and tested in LABVIEW environment to control ALD reactor with four precursor delivery lines to deposit up to four layers of different materials in cyclic manner.
 
So now you just have to add it all together "How to build your ALD reactors for less than $10,000" and you´re up and running ALD in no time for almost no money!
 
 
Sathees Kannan Selvaraj and Christos G. Takoudis
 
J. Vac. Sci. Technol. A 33, 013201 (2015)

The authors report the development and implementation of a scalable control program to control flow type atomic layer deposition (ALD) reactor with multiple precursor delivery lines. The program logic is written and tested in LABVIEW environment to control ALD reactor with four precursor delivery lines to deposit up to four layers of different materials in cyclic manner. The programming logic is conceived such that to facilitate scale up for depositing more layers with multiple precursors and scale down for using single layer with any one precursor in the ALD reactor. The program takes precursor and oxidizer exposure and purging times as input and controls the sequential opening and closing of the valves to facilitate the complex ALD process in cyclic manner. The program could be used to deposit materials from any single line or in tandem with other lines in any combination and in any sequence.
 
 
block diagram of the ALD program. The program consists of six custom-written sub-VI programs.

Wednesday, August 27, 2014

Picosun teams up with IMEC to realize next generation’s battery technology with ALD

ESPOO, Finland, 25th August, 2014 – Picosun Oy, the leading manufacturer of high quality Atomic Layer Deposition (ALD) equipment for global industries, teams up with IMEC to realize next generation’s battery technology with its advanced ALD solutions.
 


IMEC (headquartered in Leuven, Belgium) is a nanoelectronics research center, performing world-leading research in micro- and nanoelectronics via global partnerships in the fields of ICT (information and communications technology), healthcare, and energy. To ensure always the highest level, cutting-edge quality of its research and product development, IMEC has now started working with Picosun as solution and technology provider for ALD-based energy storage components for advanced microelectronic systems such as medical implants, automotive, sensor networks, and mobile communication devices.
 
Picosun’s ALD equipment for IMEC is equipped with revolutionary boosting heated source systems and full inert gas glove box integration to enable the best results in coating of moisture sensitive materials with demanding film processes. Picosun’s ALD tools are world known for fulfilling the strictest industrial productivity, film purity, and quality standards scalable to high volume manufacturing with fast process times and low cost-of-ownership.
 
“We are proud of our ALD technology’s continuing expansion to new industrial fields. The fact that IMEC, one of world’s leading semiconductor and nanoelectronics research institutes relies on Picosun’s ALD expertise to enable novel energy storage solutions for global electronic industries speaks volumes about our level of thin film processing know-how and the trust that our customers place on us,” states Juhana Kostamo, Managing Director of Picosun. 
Picosun’s highest level ALD thin film technology enables the industrial leap into the future by novel, cutting-edge coating solutions, with four decades of continuous, groundbreaking expertise in the field. Today, PICOSUN™ ALD systems are in daily production use in numerous major industries around the world. Picosun is based in Finland, with subsidiaries in USA, China, and Singapore, and a world-wide sales and support network.
 
Interesting Links and further reading:
 
The SoS-Lion project at imec to build a functional all solid state 3D thin film microbattery. To this end, both conformal coating processes and solid electrolyte materials need to be developed.
  • Planar thin film battery with focus on conductivity of solid electrolyte
  • 3D thin film battery with focus on conformality and mechanical compliance of solid electrolyte
Towards all solid-state 3D thin-film batteries for durable and fast storage
Article in Solid State Technology by PHILIPPE VEREECKEN, principal scientist, imec, associate professor, KU Leuven

Picosun on Energy storage and production

Wednesday, August 20, 2014

Levitech BV sells ALD system to another Taiwanese solar cell manufacturer

ALMERE, The Netherlands – August 20, 2014 – Levitech BV announces the sale and shipment of a Levitrack® ALD system to a new Taiwanese solar cell manufacturer. This system plays a central role in the development and manufacturing of high-efficiency solar cells on both mono- and multi-crystalline silicon.

In recent years Levitech has supplied a number of Levitrack spatial ALD systems, which deposit aluminum oxide (Al2O3) passivation layers on both p and n-type solar cells, to high-efficiency solar cell manufacturers in Asia. This order marks another step in the market growth and penetration of the aluminum oxide (Al2O3) surface passivation technology and the expansion of Levitech's sales activities.

The Levitrack’s unique spatial ALD process and high throughput result in a superior cost-of-ownership of the overall passivation process flow and helps any client to address current and future technology needs.

About the Levitrack

The Levitrack ALD system is based on the innovative concept of spatial precursor separation, instead of precursor separation in time, and works in combination with the unique floating wafer and conductive heating technology used in the Levitech’s Levitor® RTP products. In the Levitrack, substrates float in a linear gas track and are heated to the required process temperature within a matter of seconds. Once heated, the substrates continue to float through a series of ALD deposition cells. Each cell consists of two precursor ‘curtains’, which are separated by a nitrogen purge ‘curtain’. The unique design of the ALD deposition cells allows for single-side ALD growth. As each ALD deposition cell is optimized for a fast linear motion of the substrates, a high throughput and low cost-of-ownership is guaranteed.

About Levitech

Levitech is a global player in production solutions for the IC and photovoltaic industries. A spin-off of ASM International, Levitech was established in 2009 around its core product, the Levitor® system. This system is based on a revolutionary patented technology and used in the semiconductor industry for Rapid Thermal Processing (RTP). The Levitrack™ ALD system is a state-of-the-art production solution for the solar industry and is based on the innovative concept of precursor separation in space, instead of time, in combination with the unique floating wafer and conductive heating technology used in the Levitor RTP products. For more information, visit Levitech's web site at www.levitech.nl.


Tuesday, August 19, 2014

US-Korean Joint Research Developing New Material Using Atomic Layer-manipulating Tech (MBE)

As reported by Business Korea: A Korean research team has successfully synthesized a new material that can be used in the development of materials in the energy area such as fuel cells, thermal conductors, and superconductors. The Korea Atomic Energy Research Institute (KAERI) announced on August 18 that a Korean research team led by Lee Joon-hyeok, a senior researcher at KAERI, and a U.S. counterpart was able to improve a method for molecular beam deposition that makes nanometer-scale film by stacking up atomic layers one by one.
 
The team also succeeded in synthesizing thin films made of layers of monocrystal lanthanum nickel oxides (Lan+1NinO3n+1 oxides). Since lanthanum nickel oxides have excellent ion conductivity and can respond to catalysts very well, they are actively studied as a material in the energy field like an electrode or a catalyst. In the past, it was difficult to conduct pure research on their characteristics and develop a new material by synthesizing them with other kinds of thin films, because the arrangement of existing polycrystalline lanthanum nickel oxides was irregular. Moreover, the bigger the size, the less regular the material. The joint research team observed in real-time the process where atomic layers of the oxides were piled up, using Oxide Molecular Beam Epitaxy (Oxide MBE) installed in the Advanced Photon Source (APS) Synchrotron at Argonne National Laboratory. During the process, the team discovered the phenomenon of voluntary rearrangement between layers, which means that the order of some atomic layers was not fixed, but reversed instead. By applying this phenomenon, the team manipulated the order that atomic layers of lanthanum oxides and nickel oxides were piled up, and was able to synthesize thin films made of layered compound-type monocrystal lanthanum nickel oxides as a result.
 

a–e, Optimized structures and relative energies of different stackings of two (a,b) or three (c–e) ​SrO and one ​TiO2 layer on a ​TiO2-terminated ​SrTiO3 substrate. (Nature Materials (2014)DOI:doi:10.1038/nmat4039)
 
KAERI is planning to apply the research findings in the development of new materials, such as a change in layer-structure materials and the measurement of material properties, using a neutron reflectometer in the Cold Neutron Research Facility (CNRF). The research findings were first published online on August 3 by Nature Materials.
 
 

Sunday, August 17, 2014

Aixtrons OEC-200 cluster platform includes an ALD process module

AIXTRON supplies deposition equipment to the semiconductor industry. The company's technology solutions are used by a diverse range of customers worldwide, enabling them to build advanced components for electronic and opto-electronic applications based on compound, silicon, or organic semiconductor materials, for polymers, as well as carbon nanotubes (CNT), graphene and other nanomaterials. For the deposition of organic thin films, AIXTRON offers two core technologies for mass production and R&D: AIXTRON’s Organic Vapor Phase Deposition (OVPD®) equipment serves as enabling technology for the deposition of organic small molecules for OLEDs and organic semiconductor solutions. With its line of Polymer Vapor Phase Deposition (PVPD®) equipment, AIXTRON also offers solutions for carrier gas enhanced vapor phase deposition of functional polymer thin films for a variety of applications, for instance for the manufacturing of functional layers for OTFTs, electro-wetting displays and others. The OVPD-200 system incorporates latest improvements for Organic Vapor Phase Deposition and offers modular source configuration. The new PRODOS-200 provides a powerful evaluation platform for Polymer Vapor Phase Deposition processes. Both solutions can be integrated with the novel OEC-200 cluster platform. Providing a range of integration components such as transfer modules, load locks, buffers, and other subsystems, the OEC-200 platform allows to integrate OVPD -200 and PRODOS-200 into automated cluster environments.”

Aixtron recently relased a video of this Organic Lab at R&D Center OLED Demo Cluster & Gen8 Demo Module. If you watch it you will also notice that it includes an ALD process module!


Aixtrons OEC-200 cluster platform (screendump)


Close up on the ALD process module (screendump) 

Lawrence Livermore researchers have made a super strong material by ALD

Lawrence Livermore researchers have made a material that is 10 times stronger and stiffer than traditional aerogels of the same density. This ultralow-density, ultrahigh surface area bulk material with an interconnected nanotubular makeup could be used in catalysis, energy storage and conversion, thermal insulation, shock energy absorption and high energy density physics.

Ultralow-density porous bulk materials have recently attracted renewed interest due to many promising applications. Unlocking the full potential of these materials, however, requires realization of mechanically robust architectures with deterministic control over form, cell size, density and composition, which is difficult to achieve by traditional chemical synthesis methods, according to LLNL's Monika Biener, lead author of a paper appearing on the cover of the July 23 issue of Advanced Materials.

Biener and colleagues report on the synthesis of ultralow-density, ultrahigh surface area bulk materials with interconnected nanotubular morphology. The team achieved control over density (5 to 400 mg/cm3), pore size (30 um to 4 um) and composition by atomic layer deposition (ALD) using nanoporous gold as a tunable template.

"The materials are thermally stable and, by virtue of their narrow unimodal pore size distributions and their thin-walled, interconnected tubular architecture, about 10 times stronger and stiffer than traditional aerogels of the same density," Biener said.

The three-dimensional nanotubular network architecture developed by the team opens new opportunities in the fields of energy harvesting, catalysis, sensing and filtration by enabling mass transport through two independent pore systems separated by a nanometer-thick 3D membrane.
Monika M. Biener, Jianchao Ye, Theodore F. Baumann, Y. Morris Wang, Swanee J. Shin, Juergen Biener and lex V. Hamza
Adv. Mater. 28/2014, DOI: 10.1002/adma.201470188
 
 
Abstract:
The 3D interconnected nanotubular morphology of ultra-strong, low-density bulk materials derived by atomic layer deposition templating of nanoporous gold is demonstrated by M. M. Biener and co-workers on page 4808. This process provides deterministic control over density, feature size and composition. The unique morphology makes this material stronger and stiffer than other low density materials and opens the door to new filtration and catalysis applications.

Saturday, August 9, 2014

The 3rd Symposium of Atomic Layer Deposition at SEMICON Europa October 7th 2014

Symposium of the ALD-Lab

Workshop on Atomic Layer Deposition

Date: 7 October 2014
Time: 09:00 - 13:00
Location: Room Le Bans, ALPEXPO
 
Organized by:
 
The termination of Moore’s law is often associated with a lack of technological control at atomic dimensions. However the innovative utilization of self limiting heterogeneous chemical reactions enabled the introduction of a new deposition technology into the microelectronic manufacturing process within the last decade, which is generally capable to control film growth within atomic layers on any wafer size and on any topography.
Although the scientific background of ALD goes far back in history ALD can still be considered as an emerging technology. It required challenges like deposition of atomic thin work function layers or novel dielectrics in High-k MG structures to realize the advantage of precise thickness control on large substrates against the low deposition rate that is inherent to ALD.
Progress in ALD is associated with tools, but even more with specifically designed precursors which need to be applied at optimum conditions of the gas feed system, the process chamber and the substrate condition. Our workshop, which is organized by the “ALD Lab Dresden” wants to stimulate discussions between developers of tools, consumables, as well as applicants of this exciting technology.
 
 

Agenda

 09:00Welcome and the latest ALD Research at TU Dresden
“Fundamental insight into ALD processing by in-situ observation”
 Johan W. Bartha, Institut für Halbleiter- und Mikrosystemtechnik, TU Dresden, Germany
 
 09:25Development of innovative ALD materials and tools for high density 3D integrated capacitors
Malte Czernohorsky, Fraunhofer IPMS-CNT, Dresden, Germany
 
 09:50Recent Developments in Heteroleptic Chemistries for Next Generation thin films PEALD/ALD
Jean Marc Girard and Nicolas Blasco, Air Liquide Electronics, Paris/Grenoble, France
 
 10:15High permittivity dielectrics for CMOS FDSOI Gate first technologiess
Mickael Gros-Jean, ST Microelectronics, Grenoble, France
 
 10:40ALD deposited ferroelectric HfO2
Stefan Slesazeck, NaMLab, Dresden, Germany
 
 11:05ALD of Metals and Metal Oxides for Advanced Interconnect and Sensor Technology: In-Situ Investigations for the ALD of Copper
Stefan E. Schulz, Fraunhofer ENAS, Chenitz, Germany
 
 11:30Plasma-assisted ALD of Silicon Nitride and Gallium Nitride
Harm C. M. Knoops, Oxford Instruments
 
 11:55Real Time True Surface Monitoring for ALD Processes
Hassan Gargouri, Sentech, Berlin, Germany
 
 12:20Conversation / Networking
 
 13:00Termination of the workshop
 

Registration

No pre-registration required but you must register as a visitor, in order to gain access to the venue:

Thursday, August 7, 2014

Pulsed-bed atomic layer deposition setup for powder coating

An interesting publication on a Pulsed-bed atomic layer deposition setup for powder coating capable of conformal coatings of powders, easy technology for thickness control down to atomic level and a capability to process powders of different characteristics. Find out more in the publication bleow by H. Tizando et al from Universidad Nacional Autónoma de México.

 Pulsed-bed atomic layer deposition setup for powder coating

H. Tiznado, D. Domínguez, F. Muñoz-Muñoz, J. Romo-Herrera, R. Machorro, O.E.
Contreras, G. Soto

Abstract

Atomic layer deposition (ALD) provides a method for coating conformal, pinhole-free, chemically bonded, and ultra-thin films on particle surfaces. ALD is based on one or more cycles, each cycle comprising two half-reactions. As such, ALD is a process inherently discrete in time, where the coating thickness can be controlled as a function of number of cycles. A popular scheme for achieving uniform coats on powders is to combine ALD reactors with fluidization conditions. However, fluidization is not easy to attain because it is strongly dependent on particle size, density, morphology, and surface roughness. This article proposes that a pulsed-bed, instead of a continuous fluidization, is easier to achieve in most ALD reactors. Taking advantage of the discrete nature of the ALD process, with simple changes in the configurations of purge and carrier gases, the pulsed-bed mode can be completed. It is presented an adaptation made to a regular ALD reactor to work in this mode. The inclusion of a capsule for powder, valve relocations, and control of times were all necessary modifications. It was found that the pulsed-bed is a very convenient alternative for research purposes, since it can coat powders of different morphological characteristics, such as carbon nanotubes, flower-like ZnO micro-arrays, and YCrO3 particles.

Lam Research Atomic Layer Etch (ALEt) technology in beta-site evaluations

According to a rescent blog post by Ed Korczynski, Lam Research Atomic-Layer Etch (ALE) technology are in beta-site evaluations.

"Atomic-Layer Etch (ALE) technology from Lam Research Corp. is now in beta-site evaluations with IC fabrication (fab) customers pursuing next generation manufacturing capabilities. So said Dr. David Hemker, Lam’s senior vice president and chief technical officer, in an exclusive interview with Solid State Technology and SemiMD during this year’s SEMICON West trade-show in San Francisco. Hemker discussed the reasons why ALE is now under evaluation as a critically enabling technology for next generation IC manufacturing, and forecast widespread adoption in the industry by 2017."
 
 
 
Commonality in the need for ALD and ALE process technologies when IC device dimensions scale to atomic levels.

"As detailed in the feature article “Moving atomic layer etch from lab to fab” in last December’s issue of Solid State Technology, ALE can be plasma enhanced with minor modifications to a continuous plasma etch chamber. The lab aspects including the science behind the process were discussed in a TechXPOT during SEMICON West this year in a presentation titled “Plasma Etch in the Era of Atomic Scale Fidelity” by Lam’s Thorsten Lill based on work done in collaboration with KU Leuven and imec. In that presentation, Lill reminded the attendees that the process has been explored in labs under a wide variety of names: ALET, atomistic etching, digital etch, layer-by-layer etch, PALE, PE-ALE, single layer etch, and thin layer etching."
 
Full store here.

Reflectionless Transmission through Dielectrics by ALD

As reported by The Times of India: Three-year-long targeted research by Tata Institute of Fundamental Research team led by Professor Achanta Venu Gopal with design and simulation input from a senior optics faculty at University of Hyderabad, Professor S Dutta Gupta, has led to the experimental confirmation of a US patent (no: US 7,894,137 B2).
 
The patent is jointly owned by UoH and Oklahoma State University (OSU), with inventors Professor S Dutta Gupta (UoH) and Prof. Girish Agarwal (OSU) and deals with complete transparency of a graded index dielectric film based on the concept of reflectionless potentials. The notion of reflectionless potentials was proposed by Kay and Moses (I. Kay and H. E. Moses, "Reflectionless transmission through dielectrics and scattering potentials," J. Appl.Phys. 27(12), 1503-1508 (1956)). It was extensively used in inverse scattering and high energy physics theory. Incidentally there were very few research efforts in optics.
 
I. Kay and H. E. Moses, "Reflectionless transmission through dielectrics and scattering potentials," J. Appl.Phys. 27(12), 1503-1508 (1956)).
 
The realization of the optical reflectionless potential opens up new directions for a totally new design methodology for omnidirectional broadband optical coatings to enhance the throughput of any optical device. Note that standard antireflection coatings mostly makes use of quarter wavelength plates, and thus cannot be broadband and omnidirectional. The realisation of optical reflectionless potentials was a real experimental challenge in the context of choice of materials and finding the optimal technique. The graded reflectionless profile was achieved with Titanium and Aluminium oxide nano layers since they have high and low refractive indices, respectively, and a proper combination can lead to an effective medium with intermediate desired refractive index.

"We had to explore different layer deposition techniques since nanometer thick layers needed for the profile have different optical properties depending on the technique. We had to use techniques ranging from atomic layer deposition, electron beam evaporation to sputtering. We are still in the process of refining the growth process", says Prof. Gopal.
 
There are other fundamentally interesting properties like superluminal group velocities, pulse narrowing etc., which are being probed. Note that superluminal group velocities do not violate Einstein's principles, since the peaks of the emerging and incident pulses are not causally related.

Tuesday, August 5, 2014

Cornell - The perfect atom sandwich requires an extra layer

As reported by Cornell: Cornell researchers have discovered that sometimes, layer-by-layer atomic assembly – a powerful technology capable of making new materials for electronics – requires some unconventional “sandwich making” techniques.

The team, led by thin-films expert Darrell Schlom, the Herbert Fisk Johnson Professor of Industrial Chemistry in the Department of Materials Science and Engineering, describes the trick of growing perfect films of oxides called Ruddlesden-Poppers in Nature Communications Aug. 4.
 
The left figure demonstrates why the first double layer of strontium oxide is missing when growing a Ruddlesden-Popper oxide thin film. Titanium atoms (yellow) preferentially bond with oxygen atoms (gray) and sit at the center of a complete octahedron, making it energetically more favorable for titanium to switch positions with the topmost strontium oxide layer (red). Because of this, the first double layer of strontium oxide is always missing, and the extra layer rides the surface. By depositing an extra strontium oxide layer first, the desired first double layer is obtained. (source : Cornell)

These oxides are widely studied for their electronically enticing properties, among them superconductivity, magnetoresistance and ferromagnetism. Their layered structure is like a double Big Mac with alternating double and single layers of meat patties – strontium oxide – and bread – titanium oxide – in the case of the Ruddlesden-Poppers studied.

“Our dream is to control these materials with atomic precision,” Schlom said. “We think that controlling interfaces between Ruddlesden-Poppers will lead to exotic and potentially useful, emergent properties.”

Schlom’s lab makes novel thin films with molecular beam epitaxy, a deposition method that controls the order in which atom-thick layers are assembled layer-by-layer, which Schlom likens to precision spray-painting with atoms.
Full story here and Nature abstract below.
 
Atomically precise interfaces from non-stoichiometric deposition
Y. F. Nie, Y. Zhu, C.-H. Lee, L. F. Kourkoutis, J. A. Mundy, J. Junquera, Ph. Ghosez, D. J. Baek, S. Sung, X. X. Xi, K. M. Shen, D. A. Muller & D. G. Schlom   
Nature Communications 5, Article number: 4530, 04 August 2014
     
Complex oxide heterostructures display some of the most chemically abrupt, atomically precise interfaces, which is advantageous when constructing new interface phases with emergent properties by juxtaposing incompatible ground states. One might assume that atomically precise interfaces result from stoichiometric growth. Here we show that the most precise control is, however, obtained by using deliberate and specific non-stoichiometric growth conditions. For the precise growth of Srn+1TinOn+1 Ruddlesden–Popper (RP) phases, stoichiometric deposition leads to the loss of the first RP rock-salt double layer, but growing with a strontium-rich surface layer restores the bulk stoichiometry and ordering of the subsurface RP structure. Our results dramatically expand the materials that can be prepared in epitaxial heterostructures with precise interface control—from just the n=∞ end members (perovskites) to the entire RP homologous series—enabling the exploration of novel quantum phenomena at a richer variety of oxide interfaces.
 
 

Monday, August 4, 2014

New Atomic Layer Deposition (ALD) educational video

A new Atomic layer deposition educational video Image and information sources:

"Atomic Layer Deposition of Nanostructured Materials". WILEY_VCH, ed. N. Pinna and M. Knez
"Atomic Layer Deposition: Principles, Characteristics, and Nanotechology Applications", 2ed, T. Kaarlainen, D. Cameron, M.-L. Kaarlainen, A. Sherman (2013)
S. Narasimha, IEDM 2012 pp. 52-55
wikimedia commons

 
 Screendump from the video (youtube.com)
 
 

Edwards on the need for Vacuum Technologies for ALD and 3D Device Processing

A very interesting blog post on the need for Vacuum Technologies for ALD and 3D Device Processing. Thanks Toni Koblenz for the tip on this one!

Future ICs will use more 3D device structures such as finFETs and gate-all-around (GAA) transistors, and so vacuum deposition processes are needed that can produce conformal films on the tops, bottoms, and side-walls of features. New materials are needed as the commercial IC fabrication industry pushes the limits of device miniaturization, while industry consolidation drives the remaining players to use proprietary materials.

Even lithography needs more vacuum processing when double-, triple-, and quadruple-patterning schemes need sidewall spacer and sacrificial hard-mask depositions. Materials deposited in these process steps may not remain on the final chip, but they are nonetheless essential in sub-22nm node process flows.

All of this leads to a need for an unprecedented number of new chemical precursors for vacuum depositions to be simultaneously ramped into high-volume manufacturing (HVM) in fabs worldwide. “If you’re trying to deposit a metal nitride, for example, four different fabs may use four different precursors sets,” explained Kate Wilson, global applications director, Edwards Vacuum Ltd. in an exclusive interview with the Show Daily.
 
 
Pulsed precursors in a vacuum system.

For some ALD process there are conflicting challenges in configuring a vacuum solution, such as one using a MOCVD precursors and a chloride precursor. For the MOCVD molecule the general approach would be to lower temperatures to prevent decomposition, while for the chloride molecule it would be best to use high temperatures to prevent condensation. Some molecules polymerize more at higher temperatures, while condensing more at lower temperatures, so a strategic trade-off must be made.

“Chlorine-based precursors, for example, are quite challenging to abate without creating toxic by-products,” explained Wilson. “Most of the things we abate become a powder, so we have to deal with that powder to ensure that we don’t clog the system.”

Saturday, August 2, 2014

Capillary flow layer-by-layer (CF-LbL) employed by MIT researchers for fast screening of films

Capillary flow layer-by-layer (CF-LbL) - An very interesting publication (ACS Nano, 2014, 8 (7), pp 6580–6589) from Massachusetts Institute of Technology (MIT) on liquid Layer-by Layer growth in a combinatorial approach for fast screening of thin films.

Capillary Flow Layer-by-Layer: A Microfluidic Platform for the High-Throughput Assembly and Screening of Nanolayered Film Libraries
Steven A. Castleberry, Wei Li, Di Deng, Sarah Mayner, and Paula T. Hammond
 
ACS Nano, 2014, 8 (7), pp 6580–6589, DOI: 10.1021/nn501963q
 
 
 
Abstract: Layer-by-layer (LbL) assembly is a powerful tool with increasing real world applications in energy, biomaterials, active surfaces, and membranes; however, the current state of the art requires individual sample construction using large quantities of material. Here we describe a technique using capillary flow within a microfluidic device to drive high-throughput assembly of LbL film libraries. This capillary flow layer-by-layer (CF-LbL) method significantly reduces material waste, improves quality control, and expands the potential applications of LbL into new research spaces. The method can be operated as a simple lab benchtop apparatus or combined with liquid-handling robotics to extend the library size. Here we describe and demonstrate the technique and establish its ability to recreate and expand on the known literature for film growth and morphology. We use the same platform to assay biological properties such as cell adhesion and proliferation and ultimately provide an example of the use of this approach to identify LbL films for surface-based DNA transfection of commonly used cell types.

Friday, August 1, 2014

Perovskite pseudocapacitors for energy storage from Texas

Anion charge storage through oxygen intercalation in LaMnO3 perovskite pseudocapacitor electrodes

J. Tyler Mefford, William G. Hardin, Sheng Dai, Keith P. Johnston and Keith J. Stevenson
Nature Materials Volume: 13, Pages: 726–732 01 June 2014 

 

Abstract

Perovskite oxides have attracted significant attention as energy conversion materials for metal–air battery and solid-oxide fuel-cell electrodes owing to their unique physical and electronic properties. Amongst these unique properties is the structural stability of the cation array in perovskites that can accommodate mobile oxygen ions under electrical polarization. Despite oxygen ion mobility and vacancies having been shown to play an important role in catalysis, their role in charge storage has yet to be explored. Herein we investigate the mechanism of oxygen-vacancy-mediated redox pseudocapacitance for a nanostructured lanthanum-based perovskite, LaMnO3. This is the first example of anion-based intercalation pseudocapacitance as well as the first time oxygen intercalation has been exploited for fast energy storage. Whereas previous pseudocapacitor and rechargeable battery charge storage studies have focused on cation intercalation, the anion-based mechanism presented here offers a new paradigm for electrochemical energy storage.