Tuesday, June 24, 2014

Vacation - Blog is down until mid July

‎Dear Reader of probably the best ALD Blog 

I am on vacation until mid July see you on three weeks!

Bis BALD,
BALD Engineering
http://www.baldengineering.com/

Monday, June 16, 2014

1st Belux workshop on Coating (CVD, ALD, Epiaxy), Materials, Surfaces and Interfaces

The 1st Be-lux workshop will be held in Belvaux from 11th to 12th September 2014. The workshop will provide a common ground to address today's challenges and facilitate discussions on improving the state of the art and increasing fundamental insights related to chemical vapor deposition (CVD), atomic layer deposition (ALD), plasma-CVD, epitaxy, chemical etching and surface functionalization. These processes share a common involvement of complex interactions between solid surfaces and reactive species from gaseous and possibly liquid phases. Private and public research in these areas is inherently multidisciplinary and addresses the topic from process development, monitoring and application angles. [Thanks Henrik Pedersen for the tip!]
 
 
 
For this workshop we invite contributions that concern:
  • Innovative chemistries or hardware modifications for film growth and surface treatments. This includes the ALD of metals and the growth of multi-phase coatings; issues related to the chemical etching of some challenging materials (e.g. Pt) as well as to other general limitations such as side wall damage and surface roughness.
  • In situ monitoring approaches, such as those involving e.g. infrared spectroscopy, mass-spectrometry, ellipsometry, X-ray techniques, gravimetry and optical characterization. Diagnostic techniques in real time are required for the understanding of surface chemistries and material growth, providing information at the molecular level and nanometric scale; and assessing process kinetics. Both are essential inputs for process modeling and upscaling.
  • Theoretical approaches, such as DFT modeling, to improve the understanding of deposition and etch processes.
  • Integrating scientific and engineering developments to address novel applications involving the deposition of 2D materials (MX2, graphene, superlattices,..), 3D structures (conformal deposition, filling, nucleation, deposition of nano-sized powder…) and the growth of multi-component coatings.
 
Invited speakers:

Prof. Mikko Ritala
Professor of Inorganic Materials Chemistry, Laboratory of Inorganic Chemistry, Department of Chemistry, University of Helsinki, Finland

Prof. Claire J. Carmalt
Head of Inorganic & Materials Chemistry Section, Department of Chemistry
University College London, UK

Prof. Christophe Detavernier
Coating and contacting of nanostructures Research Group
Ghent University, Belgium

ALD NanoSolutions is the first company to carry out ALD on particle surfaces and on polymer surfaces

Accordng to recently updated information on ALD NanoSolutions web : ALD NanoSolutions is the first company to carry out atomic layer deposition on particle surfaces and on polymer surfaces (also includes non-particle surfaces).
 
 
ALD NanoSolutions manufactures Fluidized and rotating beds ALD reactors and technology through licensing agreements: "Fluidized and rotating beds have distinct advantages with respect to ALD processing. The FBX offers the well characterized fluidized bed processing vessel; widely used, easy scaled, and excellent for thermal ALD. The RX uses a rotating bed style, which allows for easier loading and unloading, static dosing, glove box loading, and optional plasma processing. Both reactor styles are benchmark designs used for years to produce state of the art coated materials." [aldnanosolutions.com]
 
Because of this innovation, the U.S. and foreign patent offices have issued broad process and composition of matter patent claims for ALD on particles and polymers, including more than 100 related claims. ALD NanoSolutions, Inc. has exclusive rights to practice and to license the technology covered by the following patents: 

Particle Patents
Atomic Layer Controlled Deposition on Particle Surfaces – US6,613,383
Insulating and Functionalizing Fine Metal-Containing Particles with Conformal Ultra-thin Films – US6,713,177; EP1412175B7; JP4507598B; CA2452531C
Nanocoated Primary Particles and Method for their Manufacture – US6,913,827
Nanomaterials for Quantum Tunneling Varistors – US7,132,697
Dental Composite Filler Particles – US7,396,862
Titanium Dioxide Particles Coated via an Atomic Layer Deposition Process – US8,133,531

Methods for Producing Coated Phosphors and Host Material Particles Using Atomic Layer Deposition Methods – US8,163,336; US8,637,156
Metal Ferrite Spinel Energy Storage Devices and Methods for Making and Using Same – US8,187,731

Polymer Patents
Method for the Deposition of an Inorganic Film on an Organic Polymer Surface using Atomic Layer Deposition Techniques – JP4295614; CA2452656C; Pending US & EPO
Protective Coatings for Organic Electronic Devices made using Atomic Layer Deposition and Molecular Layer Deposit Techniques – JP5220106; Pending US & EPO

MEMS Patents
Atomic Layer Deposition on Micro-Mechanical Devices – US7,426,067
Al2O3 Atomic Layer Deposition to Enhanced the Deposition of Hydrophobic or Hydrophilic Coatings on Micro-Electromechanicals Devices – US7,553,686

Supporting Patents
A Solid Material Comprising a Thin Metal Film on its Surface and Methods for Producing the Same – US6,958,174
Crystal Microbalance Holder – US8,531,090

ALL ABOUT ALD technology seminar by ASM at AVS ALD 2014 Tuesday June 17

For those of you attending the AVS ALD 2014 conference in Kyoto Japan : INVITATION ASM WELCOMES YOU TUESDAY JUNE 17, 2014 - ALL ABOUT ALD In this technology seminar, ASM and a distinguished guest speaker will address challenges and opportunities for plasma enhanced ALD processes and equipment to manufacture next generations of micro-electronic devices.
 
 



PICOSUN™ P-300B ALD production tool is a success

Picosun reports today: 16th June, 2014 – Picosun Oy, the leading manufacturer of high quality Atomic Layer Deposition (ALD) equipment for global industries, reports several new orders for it­s success product for High Volume Manufacturing, the PICOSUN™ P-300B batch ALD tool.

Multiple industrial production customers around the world, representing fields such as MEMS (MicroElectroMechanical Systems), LEDs (Light-Emitting Diodes), and passivation of large batches of machined metal parts, have placed repeated orders for P-300B ALD systems. Some of the systems also come equipped with various automatic loading options available from Picosun, such as linear loading or batch handling with industrial robotics. 
 
PICOSUN™ P-series Atomic Layer Deposition (ALD) systems set a new standard for ALD production tools, providing extremely fast process times and very low cost of ownership with the patented design solely based on the requirements of the ALD method. The reactor design is optimized for efficient processing of batches of 4-18" (100-450 mm) or 156 mm x 156 mm solar wafers  and  glass substrates up to 460 mm x 640 mm with high throughput that fulfills HVM requirements for both for electronics manufacturing and solar applications. PICOSUN™ P-series ALD tools are reliable, have a small footprint and are fully compatible with the most stringent clean room processing requirements. Operation and maintenance of the PICOSUN™ P-series ALD tools is fast and simple. Excellent particle performance and short cycle times can be reached thanks to the unique batch chamber design. Performance of the ALD system can be maximized with automated loading systems. Facts about the PICOSUN™ P-300B ALD can be found here.
 
P-300B ALD system is well-known for its world leading process quality regarding particle levels down to 0 – 2 added particles per wafer and lower than 1 % film non-uniformity in a batch for several processes. Easy and fast maintenance, as high as 99 % uptime, and 100 % yield have been reported by customers.  

“We are proud that our newest generation of batch ALD tools have provided for industrial breakthrough of ALD to several completely new application areas, enabling Picosun’s frontline position in spearheading new technologies and adding value to existing ones with today’s leading thin film processing solutions. Our dedication and unparalleled, cumulative know-how in ALD has again manifested itself in the repeated demand for our production ALD technology from various prominent global industries,” summarizes Juhana Kostamo, Managing Director of Picosun.  

Picosun’s highest level ALD thin film technology enables the industrial leap into the future by novel, cutting-edge coating solutions, with four decades of continuous, groundbreaking expertise in the field. Today, PICOSUN™ ALD systems are in daily production use in numerous major industries around the world. Picosun is based in Finland, with subsidiaries in USA, China, and Singapore, and a world-wide sales and support network.

History of ALD - Tutorial lecture by Riikka Puurunen AVS ALD 2014, Kyoto, Japan

History of Atomic Layer Deposition - Tutorial lecture given at the 14th International Conference on Atomic Layer Deposition in Kyoto, June 15, 2014.

Thursday, June 12, 2014

Webhosting for The Virtual Project on the History of ALD - VPHA

Webhosting for The Virtual Project on the History of ALD - VPHA - through BALD Engineering. The Virtual Project on the History of ALD is a worldwide collaborative effort, carried out in atmosphere of openness, respect and trust - anyone welcome to attend! Please check for future updates!


VPHA main links:




VPHA files


Other ALD history presentations/blog posts:





Malygin presentation [to be updated]



Events

AVS ALD 2014 in Kyoto Japan - Tutorial & Workshop
June 15th 2014, Sunday
16:20-17:10 ALD History
by Riikka Puurunen, VTT, Finland

Poster Session
June 16th Monday, 18:00‐20:00
16P003 On the Early History of ALD: Molecular Layering
Riikka Puurunen et al., VTT Technical Research Centre of Finland, Finland

Beneq Announces Relocation to Join Lumineq Displays Premises in Espoo

Beneq is on the move! As of Thursday, June 12, 2014, Beneq’s facilities in Vantaa will join Lumineq Displays on its premises in Espoo, Finland.



Now for the first time, Beneq Thin Film Equipment and Beneq Lumineq Displays will be under the same roof, enabling the two complementary entities to take greater advantage from their synergies. The Espoo plant, the biggest concentration of ALD equipment in the world, will be the place that combines the past, present and future of ALD.

“We bring you our heritage from 30 years of continuous thin film production. Today, we are the world’s largest atomic layer deposition (ALD) stronghold with an offering that satisfies the most demanding of needs, be that research, industry or job coating. For the future, we will continue to pioneer the future of ALD for use in research, product development and industrial production,” says Sampo Ahonen, CEO, Beneq.

Monday, June 9, 2014

ALD Equipment comparasion chart by ALD Pulse

ALD Pulse has put together a fantastic chart comparing most of the R&D ALD equipment on the market today. Access through ALD Pulse here : ALD R&D EQUIPMENT CHART
 

ALD Pulse reports that "This chart will be subject to updates according to audience and each companies' feedback and input, more companies and criteria may be added in the future."
 

 
ALD R&D Equipment chart from 2014-06-14, please follow the link for the latest version : ALD R&D EQUIPMENT CHART

 

Saturday, June 7, 2014

WODIM 2014, the 18th Workshop on Dielectrics in Microelectronics, 9-11 June 2014 in Kinsale Cork Ireland.

Coming up next week - The 18th Workshop on Dielectrics in Microelectronics, which takes place from 9-11 June 2014 in Kinsale Co Cork Ireland. This event is hosted by Tyndall National Institute, UCC, Cork, and celebrates the 10th anniversary of the last time the workshop was held in Ireland.
 
 
The main objective of the workshop is to bring together specialists who work in the field of dielectrics and all aspects of their application in the field of micro and nanoelectronics. The forum is intended to provide an overview of the state of the art in this significant field, and to promote a relatively informal atmosphere for the discussion of the latest research results, where contributions from students are particularly encouraged. The workshop deals with a range of issues in the field of advanced and new dielectrics, such as: growth and deposition, modelling and simulation, physical and electrical properties, reliability and dielectric applications.
 
 
 Kinsale, Co Cork, Ireland, in one of the most beautiful coastal towns in Ireland.
 
One of the more interesting talks will be on Tuesday ;-)

09.40 “Fluorine Interface Treatments within the Gate Stack for Defect Passivation in 28nm HKMG Technology”

M. Drescher1, E. Erben2, M. Trentzsch2, C. Grass2, M. Hempel2, A. Naumann1, J. Sundqvist1, J. Schubert3, J. Szillinski3, A. Schäfer3, S. Mantl3

1 Fraunhofer IPMS-CNT, Königsbrückerstraße 180, 01099 Dresden, Germany, 2 Globalfoundries,
Wilschdorfer Landstraße 101, 01109 Dresden, Germany, 3 Forschungszentrum Jülich, Wilhelm-Johnen-Straße, 52428 Jülich, Germany
 

Wednesday, June 4, 2014

Missouri S&T is synthesizing multi-element ENPs for Single Particle ICPMS references using ALD

Missouri S&T is synthesizing multi-element ENPs for Single Particle ICPMS references using ALD. Missouri University of Science and Technology and Perklin Elmer reports : The growing use of nanoparticles in consumer projects has raised concerns about their adverse effects on human health and the environment. A new technology being tested at Missouri University of Science and Technology could improve the field of study by giving researchers a tool to quickly measure a wide range of characteristics and detect trace levels of nanoparticles.
 
 

The technology, Single Particle (SP) – Inductively Coupled Plasma (ICP) – Mass Spectrometry (MS), addresses one of the National Nanotechnology Initiative’s most urgent priorities, tracking the fate of engineered nanoparticles. The NNI was established by the U.S. government for the research and development of nanoscale projects.

International instrumentation company PerkinElmer installed its NexION 300/350D-ICP-MS on the Missouri S&T campus in February. The instrument, which measures nanoparticles 10 times faster than other ICP-MS on the market, is being used as part of a collaborative research project between PerkinElmer and Missouri S&T to develop SP-ICP-MS methods for characterizing novel engineered nanoparticles (ENP) and investigate their mechanisms and toxicity

Dr. Xinhua Liang, assistant professor of chemical and biochemical engineering at Missouri S&T, another member of the research team, is synthesizing multi-element ENPs as calibration and reference material using advanced atomic layer deposition (ALD) technology. ALD is best known for its ability to deposit high-quality thin films of materials based on alternating pulses of chemical vapors that react with surfaces. Liang is using the technology to deposit metal oxide films on the ENPs.
 
Read the full story here.

 

Sunday, June 1, 2014

In situ characterization of ALD processes and study of reaction mechanisms for high-k metal oxide formation

"In situ characterization of ALD processes and study of reaction mechanisms for high-k metal oxide formation" is a fresh doctoral thesis to be defended 6th of June 2014 in Helsinki Finland by Mr Yoann Tomczak at University of Helsinki, Faculty of Science, Department of Chemistry, Laboratory of Inorganic Chemistry. To learn more on in-situ studies by QCM and QMS I recommend to read the doctoral thesis by Antti Rahtu that can be downloaded here.
 
Precursors, processes and materials studied in this thesis.
 
Yoann Tomczak
University of Helsinki, Faculty of Science, Department of Chemistry, Laboratory of Inorganic Chemistry
Doctoral dissertation (article-based), http://urn.fi/URN:ISBN:978-952-10-9926-7
 
Atomic Layer Deposition (ALD) is a thin film deposition method allowing the growth of highly conformal films with atomic level thickness and composition precision. For most of the ALD processes developed, the reaction mechanisms occurring at each step of the deposition remain unclear. Learning more about these reactions would help to control and optimize the existing growth processes and develop new ones more quickly. For that purpose, in situ methods such as quartz crystal microbalance (QCM) and quadrupole mass spectrometer (QMS) are used. These techniques present numerous advantages because they allow monitoring the thin film growth mechanisms directly during the process. Additionally, they do not require separate experiments or large amounts of precursors to test the efficiency of new processes and could be very effective means to monitor industrial processes in real time.

This thesis explores the most common in situ analytical methods used to study ALD processes. A review on the ALD metal precursors possessing ligands with nitrogen bonded to the metal center and their reactivity is provided. The results section reports the reaction mechanisms of ALD processes for the deposition of Nb2O5, Ta2O5, Li2SiO3, TiO2 and ZrO2. All the processes studied are using metal precursors with nitrogen bonded ligands and ozone or water for the deposition of high-k and other oxide films.
 
This is a Finnish article-based doctoral dissertation, the scientiffic work is mainly reported in the form of published or soon to be published journal articles:
 
I. “In situ reaction mechanism studies on the new tBuN=M(NEt2)3 -Water and tBuN=M(NEt2)3 - Ozone (M=Nb,Ta) Atomic Layer Deposition processes.” 
Y. Tomczak, K. Knapas, M. Sundberg, M. Ritala, M. Leskelä 
Chem. Mater.(2012), 24(9), 1555-1561 

II. “In situ reaction mechanism studies on atomic layer deposition of AlxSiyOz from Y. Tomczak, K. Knapas, S. Haukka, M. Kemell, M. Heikkilä, M. Ceccato, M. Leskelä, M. Ritalatrimethylaluminium, hexakis ethylaminodisilane and water.”
Chem. Mater.(2012), 24(20), 3859-3867
III. “In situ reaction mechanism studies on lithium hexadimethyldisilazide and ozone atomic layer deposition process for lithium silicate.”
Y. Tomczak, K. Knapas, M. Sundberg, M. Leskelä, M. Ritala
Journal of Physical Chemistry C (2013), 117(27), 14241-14246
 
IV. “In situ reaction mechanism studies on the Ti(NMe2)2(OiPr)2-D2O and Ti(OiPr)3(NiPr-Me-amd)-D2O Atomic Layer Deposition processes”
Y. Tomczak, K. Knapas, M. Ritala, M. Leskelä
Journal of Vacuum Science and Technology A: Vacuum, Surfaces, and Films (2014), 32(1), 01A121-01A121-7
V. “[Zr(NEtMe)2(guan-NEtMe)2] as a novel ALD precursor: ZrO2 film growth and mechanistic studies”
T. Blanquart, J. Niinistö, N. Aslam, M. Banerjee, Y. Tomczak, M. Gavagnin, V. Longo, E. Puukilainen, H.D. Wanzenböck, W.M.M. Kessels, A. Devi, S. Hoffmann-Eifert, M. Ritala, and M. Leskelä
Chem. Mater.(2013), 25(15), 3088-3095
 
VI. “Atomic layer deposition, characterization and growth mechanism of high quality TiO2 thin films”
VI. M. Kaipio, T. Blanquart, Y. Tomczak, J. Niinistö, M. Gavagnin, V. Longo, V. Pallem, C. Dussarrat, M. Ritala, M. Leskelä
submitted
 
 

How to build an ALD chamber for in situ x-ray diffraction

Stanford University present a ALD chamber for in-situ x-ray diffraction and scattering installed  at SLAC National Accelerator Laboratory, Stanford Synchrotron Radiation Lightsource. The  ALD chamber is designed for studying the structural properties of thin films during growth by high resolution XRD, GIXRD, and GISAXS. The ability to monitor the growth of an ALD material from nucleation to the formation of continuous films has been shown, and the precision to measure changes to the structure following single half-cycles has been demonstrated. According to the researchers, the design can also be adapted x-ray reflectivity (XRR) and x-ray absorption and fluorescence spectroscopy (XAFS). For all details please go ahead and access the all free content of the publication below.


The Stanford Synchrotron Radiation Lightsource (SSRL), a directorate of the SLAC National Accelerator Laboratory, is an Office of Science User Facility operated for the U.S. Department of Energy by Stanford University. SSRL provides synchrotron radiation, a name given to X-rays or light produced by electrons circulating in a storage ring at nearly the speed of light. These extremely bright X-rays can be used to investigate various forms of matter ranging from objects of atomic and molecular size to man-made materials with unusual properties. (news.slac.stanford.edu, Photo by Brad Plummer)

An atomic layer deposition chamber for in situ x-ray diffraction and scattering analysis
Scott M. Geyer, Rungthiwa Methaapanon, Richard W. Johnson, Woo-Hee Kim, Douglas G. Van Campen, Apurva Metha and Stacey F. Bent
Rev. Sci. Instrum. 85, 055116 (2014); http://dx.doi.org/10.1063/1.4876484

Abstract: The crystal structure of thin films grown by atomic layer deposition (ALD) will determine important performance properties such as conductivity, breakdown voltage, and catalytic activity. We report the design of an atomic layer deposition chamber for in situ x-ray analysis that can be used to monitor changes to the crystal structural during ALD. The application of the chamber is demonstrated for Pt ALD on amorphous SiO2 and SrTiO3 (001) using synchrotron-based high resolution x-ray diffraction, grazing incidence x-ray diffraction, and grazing incidence small angle scattering.
 
 
 
a) Cartoon depiction of the XRD chamber. (b) Depiction of the heater assembly with bridge mount and base plate. Citation: Rev. Sci. Instrum. 85, 055116 (2014); http://dx.doi.org/10.1063/1.4876484

Webinar: Use of ALD for MEMS and NEMS Applications by Oxford Instrument Plasma Technology


Use of Atomic Layer Deposition for MEMS and NEMS Applications
Wednesday, July 2, 2014 11:30 AM - 12:30 PM EDT
Presented by Dr. Harm Knoops, Technical Sales Specialist (ALD) at Oxford Instrument Plasma Technology
 
 
Atomic layer deposition (ALD) with its growth control and unique properties can be used to grow an increasing variety of films in complex structures. As MEMS and NEMS applications are becoming more advanced, this webinar will discuss important aspect of ALD and how they can be applied to MEMS. Mechanical properties that are important for MEMS, such as stress, will be discussed for thermal and plasma ALD. Several examples from the literature of how ALD films can be used in MEMS applications will be demonstrated and discussed.
 
 
Dr. Harm Knoops presenting at the High-k workshop organized by NaMLab in Dresden, March 2014.
 
Dr. Harm Knoops, Technical Sales Specialist (ALD) at Oxford Instrument Plasma Technology. Before his current position, Harm investigated the fundamentals and applications of atomic layer deposition (ALD) at the Eindhoven University of Technology.
 
To register, please click here.

 

Saturday, May 31, 2014

Exhibition: 40 Years of ALD in Finland - Photos, Stories

40 years ago, Dr. Tuomo Suntola and his group demonstrated the growth of ZnS thin films in alternating, saturating gas-solid reactions. This initiated the development of Atomic Layer Deposition (ALD) in Finland and gradually led to industrial and academic activities worldwide. The famous patent on Atomic Layer Epitaxy (FIN 52359) was filed on November 29, 1974. (from http://www.vtt.fi/news/2014/events/12_5_to_19_8_2014.jsp)
 

"40 Years of ALD in Finland: Photos, Stories” organizers in front of the finalized posters, Dr. Riikka Puurunen and Dr. Jaakko Niinistö (Picture from Twitter, Riikka Puurunen @rlpuu).


Celebrating the round years, the Academy of Finland’s Finnish Centre of Excellence on Atomic Layer Deposition, led by professor Markku Leskelä of the University of Helsinki, is organizing an exhibition: “40 Years of ALD in Finland: Photos, Stories”. Initially, the exhibition was organized for the international Baltic ALD conference, May 12-13, 2014, Helsinki (http://www.aldcoe.fi/bald2014/). The main organizers of the exhibition have been Dr. Riikka Puurunen (VTT) and Dr. Jaakko Niinistö (University of Helsinki).

The exhibition material can be viewed at:
- VTT, Micronova, Tietotie 3, Espoo (Mon-Fri 8:00 - 16:30), from May 12 to August 29, 2014 and
- University of Helsinki, Chemicum, A.I. Virtasenaukio 1, Helsinki (Mon-Fri 7:45 - 19:00), from August 15 to October 15, 2014
 
There is also information out there that the Exhibition will travel to Japan for the ALD conference. Stay tuned. :-)

 

A new technique for fabricating high-quality epitaxial oxide thin films on amorphous substrates

A new technique for fabricating high-quality epitaxial oxide thin films on amorphous substrates such as glass has been developed by Japaneese reserachers from University of Tokyo, Kanagawa Academy of Science and Technology, Japan Science and Technology Agency and National Institute for Materials Science. The new manufacturing method called lateral solid-phase epitaxy, could help realise applications of oxide-based thin film devices. This is especially interesting for large scale production of flexible electronics on foil or large glass substrates used in e.g. display technology. The results has been published in ACS Nano (abstract and supporting information below).

Lateral Solid-Phase Epitaxy of Oxide Thin Films on Glass Substrate Seeded with Oxide Nanosheets
Kenji Taira, Yasushi Hirose, Shoichiro Nakao, Naoomi Yamada, Toshihiro Kogure, Tatsuo Shibata, Takayoshi Sasaki, and Tetsuya Hasegawa
ACS Nano, Article ASAP, DOI: 10.1021/nn501563j, Publication Date (Web): May 27, 2014
 
 
Pictures from graphical abstratct (ACS Nano).

Abstract: We developed a technique to fabricate oxide thin films with uniaxially controlled crystallographic orientation and lateral size of more than micrometers on amorphous substrates. This technique is lateral solid-phase epitaxy, where epitaxial crystallization of amorphous precursor is seeded with ultrathin oxide nanosheets sparsely (≈10% coverage) deposited on the substrate. Transparent conducting Nb-doped anatase TiO2 thin films were fabricated on glass substrates by this technique. Perfect (001) orientation and large grains with lateral sizes up to 10 μm were confirmed by X-ray diffraction, atomic force microscopy, and electron beam backscattering diffraction measurements. As a consequence of these features, the obtained film exhibited excellent electrical transport properties comparable to those of epitaxial thin films on single-crystalline substrates. This technique is a versatile method for fabricating high-quality oxide thin films other than anatase TiO2 and would increase the possible applications of oxide-based thin film devices.

[ACS Nano free Supporting information] An alkaline-free glass substrate sparsely covered with Ca2Nb3O10 nanosheets was prepared by the same process described in the main text. Amorphous SrTiO3 (STO) precursor films were fabricated on the unheated substrate by pulsed laser deposition (PLD) with a single crystalline STO plate target. Partial oxygen gas pressure (PO2) was set at 10−3 Torr during the deposition. A 1-nm-thick STO secondary seed layer was also fabricated by PLD at TS = 400 °C prior to the deposition of the precursor film. The precursor film was crystallized by post-deposition annealing at 600 °C for 1 hour under H2 atmosphere (1 atm) in an infrared image furnace. After the annealing, the crystallographic structure and orientation of the film were determined by X-ray diffraction (XRD) measurements with a two-dimensional area detector. Figure S1a shows the θ-2θ XRD profile of the STO thin film fabricated on a glass substrate by NS-LSPE with the 1 nm-secondary seed layer. Only 100 and 200 diffraction peaks with spot-like shapes were recognizable, which indicates perfectly (100)-oriented growth of STO, as expected from good lattice-matching with Ca2Nb3O10 nanosheets (−1.0%). In contrast, in case of STO film fabricated directly on bare glass by solid phase crystallization, only Debye rings of 110 and 200 diffractions from randomly oriented grains were observed (Fig. S1b). These results verify the versatility of the NS-LSPE technique for oxide thin films other than TiO2.
 

Figure S1. θ-2θ XRD profile of STO thin films fabricated on glass substrate (a) by the NS-LSPE and (b) by conventional solid phase crystallization without nanosheets. The corresponding two dimensional area detector images are also shown. [ACS Nano free Supporting information]
 
 
 

Friday, May 30, 2014

Leaky ALD TiO2 stabilizes common semiconductors for solar fuel generation

Nanowerk News report today on "Caltech researchers at the Joint Center for Artificial Photosynthesis (JCAP) have devised a method for protecting these common semiconductors from corrosion even as the materials continue to absorb light efficiently. The research, led by Shu Hu, a postdoctoral scholar in chemistry at Caltech, appears in the May 30 issue of the journal Science" (Abstract below) 


AmorphousTiO2 coatings stabilize Si, GaAs, and GaP photoanodes for efficient wateroxidation 
Shu Hu, Matthew R. Shaner, Joseph A. Beardslee, Michael Lichterman, Bruce S. Brunschwig, Nathan S. Lewis
Science 30 May 2014, Vol. 344 no. 6187 pp. 1005-1009

Abstract: Although semiconductors such as silicon (Si), gallium arsenide (GaAs), and gallium phosphide (GaP) have band gaps that make them efficient photoanodes for solar fuel production, these materials are unstable in aqueous media. We show that TiO2 coatings (4 to 143 nanometers thick) grown by atomic layer deposition prevent corrosion, have electronic defects that promote hole conduction, and are sufficiently transparent to reach the light-limited performance of protected semiconductors. In conjunction with a thin layer or islands of Ni oxide electrocatalysts, Si photoanodes exhibited continuous oxidation of 1.0 molar aqueous KOH to O2 for more than 100 hours at photocurrent densities of >30 milliamperes per square centimeter and ~100% Faradaic efficiency. TiO2-coated GaAs and GaP photoelectrodes exhibited photovoltages of 0.81 and 0.59 V and light-limiting photocurrent densities of 14.3 and 3.4 milliamperes per square centimeter, respectively, for water oxidation.

 

 
The Joint Center for Artificial Photosynthesis (JCAP) is the nation's largest research program dedicated to the development of an artificial solar-fuel generation technology. Established in 2010 as a U.S. Department of Energy (DOE) Energy Innovation Hub, JCAP aims to find a cost-effective method to produce fuels using only sunlight, water, and carbon dioxide as inputs. JCAP brings together more than 140 top scientists and researchers from the California Institute of Technology and its lead partner, Berkeley Lab, along with collaborators from the SLAC National Accelerator Laboratory, and the University of California campuses at Irvine and San Diego. (Youtube.com)



Thursday, May 29, 2014

Applied Materials Enables Cost-Effective Vertical Integration of 3D Chips by PVD

As reported by Applied Materials: SANTA CLARA, Calif., May 28, 2014 - Applied Materials, Inc. today introduced the Endura® VenturaTM PVD system that helps customers reduce the cost of fabricating smaller, lower power, high-performance integrated 3D chips. The system incorporates Applied's latest innovations to its industry-leading PVD technology that enables the deposition of thin, continuous barrier and seed layers in through-silicon-vias (TSVs). Demonstrating Applied's precision materials engineering expertise, the Ventura system also uniquely supports the use of titanium in volume production as an alternate barrier material for lower cost. With the launch of the Ventura system, Applied is expanding its comprehensive toolset for wafer level packaging (WLP) applications, including TSVs, redistribution layer (RDL) and Bump.
 
 
"Ventura provides a less expensive barrier for copper, as well as the copper seed layer necessary for the subsequent through copper plating process itself. Typical copper interconnects on-chip are very very small -- on the order of 50 nanometers -- but TSVs are much larger -- on the order of 50 microns. Ventura can safely address aspect rations of TSVs ranging from typical TSVs today of 5-to-1 to those of the future of 10-, 11-, and even 12-to-1 aspect ratios. The Ventura tool can also handle traditional tantalum liners for TSVs as well as the more cost-effective titanium TSV liners, before depositing the copper seeds for the eventual polished interconnect itself. Applied materials also claims twice the throughput of competing PVD interconnect tools, and says it has already shipped 30 Ventura chambers in the last 18 months" (Source EE Times)
 
TSVs are a critical technology for vertically fabricating smaller and lower power future mobile and high-bandwidth devices. Vias are short vertical interconnects that pass through the silicon wafer, connecting the active side of the device to the back side of the die, providing the shortest interconnect path between multiple chips. Integrating 3D stacked devices requires greater than 10:1 aspect ratio TSV interconnect structures to be metallized with copper. The new Ventura tool solves this challenge with innovations in materials and deposition technology to manufacture TSVs more cost-effectively than previous industry solutions.


 
Applied Materials' Sesh Ramaswami discusses the fundamentals of advanced packaging and the revolutionary impact this technology is having on the gadgets we buy and the cloud infrastructure that makes mobility work. (Youtube.com) 
 
"Building on 15 years of leadership in copper interconnect technology, the Ventura system enables fabrication of robust high-aspect ratio TSVs, with up to 50 percent barrier seed cost savings compared to copper interconnect PVD systems," said Dr. Sundar Ramamurthy, vice president and general manager of Metal Deposition Products at Applied Materials. "These innovations deliver a higher-performance and more functional, yet, compact chip package with less power consumption to meet leading-edge computing needs. Customers are realizing the benefits of this new PVD system and are qualifying it for volume manufacturing."
 
Supporting the manufacture of high-yielding 3D chips, the Ventura system introduces advances in ionized PVD technology that assure the integrity of the barrier and seed layers that are critical to superior gap-fill and interconnect reliability. These developments significantly improve ion directionality to enable the deposition of thin, continuous and uniform metal layers deep into the vias to achieve the void-free fill necessary for robust TSVs. With the improvement in directionality, higher deposition rates can be achieved, while the amount of barrier and seed material needed can be reduced. These attributes of the Ventura system and the adoption of titanium as an alternate barrier are expected to improve device reliability and reduce the overall cost of ownership for TSV metallization.

New industrial ALD processes from Picosun

Picosun reports that they now offers new industrial ALD processes for copper and niobium oxide using the 2nd generation of the Picohot™ 300 source system.


Ultra-thin copper films with the highest level of uniformity and conformality only ALD can offer as a thin film deposition method are a crucial element in today’s and future’s microelectronics and MEMS (microelectromechanical sensors) manufacturing. Copper metallization and seed layers are needed in e.g. microprocessors and 3D-integrated microelectronic components as interconnects. Niobium oxide (Nb2O5), on the other hand, is ideal for moisture and corrosion protection due to its hardness and chemical resistance. 

The now developed low temperature (below 150 °C) thermal ALD processes for niobium oxide and copper have been enabled by Picosun’s newest generation of precursor source design. The 2nd generation of the Picohot™ 300 source system allows even lower vaporization temperatures and efficient, uniform distribution of the precursor vapor in the reaction chamber even at low substrate temperatures.

“Our new processes for copper and niobium oxide show again the excellent quality of Picosun’s precursor source design, allowing true ALD processing also on thermally sensitive materials such as plastics and piezoelectrical elements. On several of Picosun’s main market areas such as MEMS, microelectronics, and corrosion protection, these processes further solidify our status as the leading solution provider of the thin film coating needs of today’s industries,” states Juhana Kostamo, Managing Director of Picosun.

Picosun’s highest level ALD thin film technology enables the industrial leap into the future by novel, cutting-edge coating solutions, with four decades of continuous, groundbreaking expertise in the field. Today, PICOSUN™ ALD systems are in daily production use in numerous prominent industries around the globe. Picosun is based in Finland, with subsidiaries in USA, China, and Singapore, and a world-wide sales and support network.

Wednesday, May 28, 2014

RWTH Aachen fabricate ferroelectric hafnium oxide by chemical solution deposition

RWTH Aachen fabricate ferroelectric hafnium oxide with a remnant polarization of >13 μC/cm2 by chemical solution deposition - to be specific - a yttrium-doped hafnium oxide films on platinum electrodes. This work opens up opportunities for applications using a thicker ferroelectric material than for ALD films at about 10nm that has been developed recent years by NaMLab and Fraunhofer IPMS-CNT.



Chemical solution deposition of ferroelectric yttrium-doped hafnium oxide films on platinum electrodes

S. Starschich, D. Griesche, T. Schneller, R. Waser and U. Böttger

Appl. Phys. Lett. 104, 202903 (2014): http://dx.doi.org/10.1063/1.4879283, Published online 21 Mai 2014

Abstract: Ferroelectric hafnium oxide films were fabricated by chemical solution deposition with a remnant polarization of >13 μC/cm2. The samples were prepared with 5.2 mol. % yttrium-doping and the thickness varied from 18 nm to 70 nm. The hafnium oxide layer was integrated into a metal-insulator-metal capacitor using platinum electrodes. Due to the processing procedure, no thickness dependence of the ferroelectric properties was observed. To confirm the ferroelectric nature of the deposited samples, polarization, capacitance, and piezoelectric displacement measurements were performed. However, no evidence of the orthorhombic phase was found which has been proposed to be the non-centrosymmetric, ferroelectric phase in HfO2.

Monday, May 26, 2014

T. Suntola, "From ideas to global industry" BALTIC ALD, May 12-13, 2014, University of Helsinki

Dr. Tuomo Suntola, has shared his opening presentation "From ideas to global industry" from the recently held Baltic ALD conference, May 12-13, 2014, University of Helsinki [thanks Riikka for sharing].
 
BALTIC ALD, May 12-13, 2014, University of Helsinki
(some snapshots form the presentation that I find especially interesting, follow the link for the complete presentation)
 
 
In late 1973 instrumentarium Oy was searching for new challenges. 1.1.1974 a research unit headed by Tuomo Suntola was formed and The Proposal was given to the board by Dr. Tuomo Sunola: "Let´s develop an electroluminicent flat panel display...nobody has done it yet"
 
 
 
 
The famous picture of Sven Lindfors, today Chief Technology Officer and a Member of the Board of Directors of Picosun.“We had numerous unsuccessful test runs behind us. Dr. Tuomo Suntola knew that in order to proceed, we needed to advance from using pure chemical elements to an exchange reaction based on carrier gases and sulphides,” says Sven Lindfors, at the time working as a key technician for Dr Suntola’s team. (Source Picosun Newsletter :  http://www.picosun.com/binary/file/-/id/3/fid/79/).
 
 
 
Introduction of high-k materials by ALD in the semiconductor industry for DRAM Capacitors and MOSFETs and the contribution of ALD to the learning curve to keep up with Moore's Law.
 
Follow this link for an overvie on his work concerning Atomic Layer Deposition and thin film devices. The page includes articles, books patents, and conference presentation material from Dr. Tuomo Suntola. ranging back to the early 70s: http://www.sci.fi/~suntola/ald.html

Additional ALD presentations given by Tuomo Suntola in the last 10 years that can also be downloaded from this page:

 T. Suntola, "30 years of ALD"
ALD 2004 Conference, University of Helsinki
 
Russian-Finnish Scientific Conference, 12 – 13 September 2007, Helsinki
 
T. Suntola, "35 years of ALD"
Winter-School Picosun World Forum, 9-10 June 2009, Espoo
 
ENHANCE - Winter-School 9-12 January 2012, University of Helsinki
 
 

Sunday, May 25, 2014

Integration of thulium silicate for enhanced scalability of HKMG CMOS technology

A very interesting fresher than fresh PhD Thesis from Royal Institute of Technology (KTH), Sweden on the Integration of thulium silicate for enhanced scalability of high-k/metal gate CMOS technology. The ALD processing in this work has been preformed in a  Beneq TFS 200 ALD system - a crossflow-type 200mm hot-wall reactor. The public defense will take place on 27 May 2014 at 10.00 a.m. in Sal D, Forum, Kungliga Tekniska Högskolan, Isafjordsgatan 39, Kista. - Best of luck!
 

Left, the process flow and right a TEM cross-section of the TmSiO/HfO2/TiN gate stack implemented in gate-last MOSFETs. (From the thesis below)


Integration of thulium silicate for enhanced scalability of high-k/metal gate CMOS technology
EUGENIO DENTONI LITTA
Doctoral Thesis in Information and Communication Technology, Stockholm, Sweden 2014

Abstract: High-k/metal gate stacks have been introduced in CMOS technology during the last decade in order to sustain continued device scaling and ever improving circuit performance. Starting from the 45nm technology node, the stringent requirements in terms of equivalent oxide thickness and gate current density have rendered the replacement of the conventional SiON/poly-Si stack unavoidable. Although Hf-based technology has become the de facto industry standard for high-k/metal gate MOSFETs, problematic long-term scalability has motivated the research of novel materials and solutions to fulfill the target performances expected of gate stacks in future technology nodes. In this work, integration of a high-k interfacial layer has been identified as the most promising approach to improve gate dielectric scalability, since this technology presents the advantage of potential compatibility with both current Hf-based and plausible future higher-k materials. Thulium silicate has been selected as candidate material for integration as interfacial layer, thanks to its unique properties which enabled the development of a straightforward integration process achieving well-controlled and repeatable growth in the sub-nm thickness regime, a contribution of (0.25 ± 0.15)nm to the total EOT, and high quality of the interface with Si. Compatibility with industry-standard CMOS integration flows has been kept as a top priority in the development of the new technology. To this aim, a novel ALD process has been developed and characterized, and a manufacturable process flow for integration of thulium silicate in a generic gate stack has been designed. The thulium silicate interfacial layer technology has been verified to be compatible with standard integration flows, and fabrication of high-k/metal gate MOSFETs with excellent electrical characteristics has been demonstrated. The possibility to achieve high performance devices by integration of thulium silicate in current Hf-based technology has been specifically demonstrated, and the TmSiO/HfO2 dielectric stack has been shown to be compatible with the industrial requirements of operation in the sub-nm EOT range (down to 0.6nm), reliable device operation over a 10 year expected lifetime, and compatibility with common threshold voltage control techniques. The thulium silicate interfacial layer technology has been especially demonstrated to be superior to conventional chemical oxidation in terms of channel mobility at sub-nm EOT, since the TmSiO/HfO2 dielectric stack achieved 20% higher electron and hole mobility compared to state-of-the-art SiOx/HfO2 devices at the same EOT. Such performance enhancement can provide a strong advantage in the EOT-mobility trade-off which is commonly observed in scaled gate stacks, and has been linked by temperature and stress analyses to the higher physical thickness of the high-k interfacial layer, which results in attenuated remote phonon scattering compared to a SiOx interfacial layer achieving the same EOT.








 

 
 
 
 

Durable and safe cathode material enabled by ALD for the next-generation electric vehicles

Researchers at University of Colorado at Boulder, Brookhaven National Laboratory, and Seoul National University, has shown that a Al2O3 coating deposited by Atomic Layer Deposition (ALD) dramatically reduces the degradation in cell conductivity and reaction kinetics of commercially available cathode material used in today's state-of-art Li-ion batteries, lithium nickel–manganese–cobalt oxide Li[Ni1/3 Mn1/3Co1/3]O2 a.k.a. NMC.
 
According to the researchers the use of NMC cathodes for plug-in hybrid electric vehicles (PHEVs) and electric vehicles (EVs), have not been possible so far because of: 
  • limited power performance (rate capability)
  • degradation in their capacity and cycle-life at high operation temperatures and voltages
The researches have developed a new durable ultra-thin Al2O3-ALD coating layer that also improves stability for the NMC at an elevated temperature. Furthermore, the experimental results suggest that a highly durable and safe cathode material enabled by atomic-scale surface modification can meet the demanding performance and safety requirements of next-generation electric vehicles.
 

The University of Colorado Boulder (also commonly referred to as CU-Boulder, CU, Boulder, or Colorado) is a public research university located in Boulder, Colorado, United States. It is the flagship university of the University of Colorado system and was founded five months before Colorado was admitted to the union in 1876. According to The Public Ivies: America's Flagship Public Universities (2001), it is considered one of the thirty "Public Ivy League" schools. (Source: Wikipedia, Picture :  The  Campus of University of Colorado Boulder, http://www.colorado.edu/).
 
The work has been funded by by National Science Foundation (USA), Department of Energy (USA), and Ministry of Knowledge Economy (KOR).
 
Results have been published in the article below in the Journal of Power Sources:
 
Ji Woo Kim, Jonathan J. Travis, Enyuan Hu, Kyung-Wan Nam, Seul Cham Kim, Chan Soon Kang, Jae-Ha Woo, Xiao-Qing Yang, Steven M. George, Kyu Hwan Oh, Sung-Jin Cho, Se-Hee Lee
Journal of Power Sources, Volume 254, 15 May 2014, Pages 190–197
 
Abstract: Electric-powered transportation requires an efficient, low-cost, and safe energy storage system with high energy density and power capability. Despite its high specific capacity, the current commercially available cathode material for today's state-of-art Li-ion batteries, lithium nickel–manganese–cobalt oxide Li[Ni1/3 Mn1/3Co1/3]O2 (NMC), suffers from poor cycle life for high temperature operation and marginal rate capability resulting from irreversible degradation of the cathode material upon cycling. Using an atomic-scale surface engineering, the performance of Li[Ni1/3Mn1/3Co1/3]O2 in terms of rate capability and high temperature cycle-life is significantly improved. The Al2O3 coating deposited by atomic layer deposition (ALD) dramatically reduces the degradation in cell conductivity and reaction kinetics. This durable ultra-thin Al2O3-ALD coating layer also improves stability for the NMC at an elevated temperature (55 °C). The experimental results suggest that a highly durable and safe cathode material enabled by atomic-scale surface modification could meet the demanding performance and safety requirements of next-generation electric vehicles.
 
More interesting publications from The Electrochemical Energy Laboratory at University of Colorado at Boulder  on high performance materials for sustainable energy applications :  batteries, supercapacitors, fuel cells, electrochromic winodws, and photoelectrochemical devices can be found here: http://www.colorado.edu/mechanical/ecel/publication.html
  

Saturday, May 24, 2014

Video explaining The Beneq TFS 200 Thin Film System for ALD

As recently published by Beneq: The Beneq TFS 200 Thin Film System for atomic layer deposition (ALD) is the most flexible and upgradable ALD system on the market. Some of its features include:
  • Up to 3 liquid sources
  • 8 gas lines
  • Hot sources
  • Thermal reaction chamber with load lock

Friday, May 23, 2014

ASM International "One cannot think now, the world without Atomic Layer Deposition"

ASM International, one of the leading suppliers of ALD equipment for the semiconductor market has recently updated its web appearance. There are a number of videos that give insights in how ASM and the employees are innovating in the Semiconductor ALD market. And don´t forget - the best page for all Pulsar fans - The switch is on: http://asmtheswitchison.com/.
 

Check out the Vimeo Channel here: http://vimeo.com/user17485889/videos/page:1/sort:date

Here are some of my favourites:

 
Tanja talks about the Thermal Products business unit and how being ‘fast, first and the best’ with ASM innovations like Atomic Layer Deposition (ALD) inspires her.
Tanja, Senior Product Director
 

“I expect innovation from everyone.” Suvi who is recognized, globally, as a pioneering expert in Atomic Layer Deposition (ALD) gives us an insight into her world.
Suvi, Executive Scientist

 
 
Doug explains about marketing Atomic Layer Deposition (ALD) technology and the benefits of working at such a diverse, global company like ASM.
Doug, Senior Product Marketing Manager

MIT shows a new promising way to make sheets of graphene on wafers by CVD

MIT News reports - Now researchers at MIT and the University of Michigan have come up with a way of producing graphene, in a process that lends itself to scaling up, by making graphene directly on materials such as large sheets of glass. The process is described, in a paper published this week in the journal Scientific Reports, by a team of nine researchers led by A. John Hart of MIT. Lead authors of the paper are Dan McNerny, a former Michigan postdoc, and Viswanath Balakrishnan, a former MIT postdoc who is now at the Indian Institute of Technology.

The new work, Hart says, still uses a metal film as the template — but instead of making graphene only on top of the metal film, it makes graphene on both the film’s top and bottom. The substrate in this case is silicon dioxide, a form of glass, with a film of nickel on top of it.
 
Using chemical vapor deposition (CVD) to deposit a graphene layer on top of the nickel film, Hart says, yields “not only graphene on top [of the nickel layer], but also on the bottom.” The nickel film can then be peeled away, leaving just the graphene on top of the nonmetallic substrate.
 
This way, there’s no need for a separate process to attach the graphene to the intended substrate — whether it’s a large plate of glass for a display screen, or a thin, flexible material that could be used as the basis for a lightweight, portable solar cell, for example. “You do the CVD on the substrate, and, using our method, the graphene stays behind on the substrate,” Hart says.
 
Read all details about this new approach to manufacture sheets of graphene in the open access Scientific Reports article below.
 
 
a) Process schematic, indicating Ni grain growth during annealing in He, followed by graphene growth under CVD conditions, and then removal of Ni using adhesive tape. Photos of substrates (~1 × 1 cm) and delaminated Ni films in case of b) ex situ tape delamination after graphene growth and c) in situ delamination during the graphene growth step. In the latter case the Ni film retains its integrity upon delamination and is moved to the side using tweezers after the sample is taken from the CVD system. (picture and caption from article below) 
Directfabrication of graphene on SiO2 enabled by thin film stress engineering
Daniel Q. McNerny, B. Viswanath, Davor Copic, Fabrice R. Laye, Christophor Prohoda, Anna C. Brieland-Shoultz, Erik S. Polsen, Nicholas T. Dee, Vijayen S. Veerasamy, A. John Hart   
Scientific Reports, Volume: 4, Article number: 5049, DOI:doi:10.1038/srep05049, Published

Abstract: We demonstrate direct production of graphene on SiO2 by CVD growth of graphene at the interface between a Ni film and the SiO2 substrate, followed by dry mechanical delamination of the Ni using adhesive tape. This result is enabled by understanding of the competition between stress evolution and microstructure development upon annealing of the Ni prior to the graphene growth step. When the Ni film remains adherent after graphene growth, the balance between residual stress and adhesion governs the ability to mechanically remove the Ni after the CVD process. In this study the graphene on SiO2 comprises micron-scale domains, ranging from monolayer to multilayer. The graphene has >90% coverage across centimeter-scale dimensions, limited by the size of our CVD chamber. Further engineering of the Ni film microstructure and stress state could enable manufacturing of highly uniform interfacial graphene followed by clean mechanical delamination over practically indefinite dimensions. Moreover, our findings suggest that preferential adhesion can enable production of 2-D materials directly on application-relevant substrates. This is attractive compared to transfer methods, which can cause mechanical damage and leave residues behind.      

Wednesday, May 21, 2014

Hybrid technology for 2D electronics by graphene/molybdenum disulfide heterostructures grown by CVD

Nanotechweb.org reports that Researchers in the US have unveiled a new CMOS-compatible technology to integrate different two-dimensional materials into a single electronic device. The team, led by Tomás Palacios of the Massachusetts Institute of Technology, constructed large-scale electronic circuits based on graphene and molybdenum sulphide heterostructures grown by chemical vapour deposition where MoS2 was used as a transistor channel, and graphene as contact electrodes and circuit interconnects. The fabrication process itself might be extended to fabricate heterostructures from any type of 2D layered material with potential applications in flexible and transparent electronics, sensors, tunnelling FETs and high-electron mobility transistors.
 
Demonstration of a novel technology for constructing large-scale electronic systems based on graphene/molybdenum disulfide (MoS2) heterostructures grown by chemical vapor deposition.
 
Mor details on this work in the article below:
 
Lili Yu, Yi-Hsien Lee, Xi Ling, Elton J. G. Santos, Yong Cheol Shin , Yuxuan Lin, Madan Dubey, Efthimios Kaxiras, Jing Kong, Han Wang, and Tomás Palacios
Nano Lett., DOI: 10.1021/nl404795z Publication Date (Web): May 8, 2014

Abstract: Two-dimensional (2D) materials have generated great interest in the past few years as a new toolbox for electronics. This family of materials includes, among others, metallic graphene, semiconducting transition metal dichalcogenides (such as MoS2), and insulating boron nitride. These materials and their heterostructures offer excellent mechanical flexibility, optical transparency, and favorable transport properties for realizing electronic, sensing, and optical systems on arbitrary surfaces. In this paper, we demonstrate a novel technology for constructing large-scale electronic systems based on graphene/molybdenum disulfide (MoS2) heterostructures grown by chemical vapor deposition. We have fabricated high-performance devices and circuits based on this heterostructure, where MoS2 is used as the transistor channel and graphene as contact electrodes and circuit interconnects. We provide a systematic comparison of the graphene/MoS2 heterojunction contact to more traditional MoS2-metal junctions, as well as a theoretical investigation, using density functional theory, of the origin of the Schottky barrier height. The tunability of the graphene work function with electrostatic doping significantly improves the ohmic contact to MoS2. These high-performance large-scale devices and circuits based on this 2D heterostructure pave the way for practical flexible transparent electronics.

Monday, May 19, 2014

Vanderbilt University - A Multifunctional Load-Bearing Solid-State Supercapacitor

"The biggest problem with designing load-bearing supercaps is preventing them from delaminating," said Westover. "Combining nanoporous material with the polymer electrolyte bonds the layers together tighter than superglue."
 
Andrew S. Westover, John W. Tian, Shivaprem Bernath, Landon Oakes, Rob Edwards, Farhan N. Shabab, Shahana Chatterjee, Amrutur V. Anilkumar, and Cary L. Pint
Nano Lett., DOI: 10.1021/nl500531r, Publication Date (Web): May 13, 2014


Abstract: A load-bearing, multifunctional material with the simultaneous capability to store energy and withstand static and dynamic mechanical stresses is demonstrated. This is produced using ion-conducting polymers infiltrated into nanoporous silicon that is etched directly into bulk conductive silicon. This device platform maintains energy densities near 10 W h/kg with Coulombic efficiency of 98% under exposure to over 300 kPa tensile stresses and 80 g vibratory accelerations, along with excellent performance in other shear, compression, and impact tests. This demonstrates performance feasibility as a structurally integrated energy storage material broadly applicable across renewable energy systems, transportation systems, and mobile electronics, among others.

Improved supercapacitors using ruthenium oxide RGM foam by University of California

As reported today by Sean Nealon, UC Riverside, Researchers at the Univ. of California, Riverside have developed a novel nanometer scale ruthenium oxide anchored nanocarbon graphene foam architecture that improves the performance of supercapacitors, a development that could mean faster acceleration in electric vehicles and longer battery life in portable electronics.

Read the full story here in the R&D Mag or check out the original OPEN ACCESS publication bellow:
 
Hydrous Ruthenium Oxide Nanoparticles Anchored to Graphene and Carbon Nanotube Hybrid Foam for Supercapacitors
Wei Wang, Shirui Guo, Ilkeun Lee, Kazi Ahmed, Jiebin Zhong, Zachary Favors, Francisco Zaera, Mihrimah Ozkan & Cengiz S. Ozkan          
Scientific Reports 4, Article number: 4452 doi:10.1038/srep04452, 25 March 2014

Abstract: In real life applications, supercapacitors (SCs) often can only be used as part of a hybrid system together with other high energy storage devices due to their relatively lower energy density in comparison to other types of energy storage devices such as batteries and fuel cells. Increasing the energy density of SCs will have a huge impact on the development of future energy storage devices by broadening the area of application for SCs. Here, we report a simple and scalable way of preparing a three-dimensional (3D) sub-5 nm hydrous ruthenium oxide (RuO2) anchored graphene and CNT hybrid foam (RGM) architecture for high-performance supercapacitor electrodes. This RGM architecture demonstrates a novel graphene foam conformally covered with hybrid networks of RuO2 nanoparticles and anchored CNTs. SCs based on RGM show superior gravimetric and per-area capacitive performance (specific capacitance: 502.78 F g−1, areal capacitance: 1.11 F cm−2) which leads to an exceptionally high energy density of 39.28 Wh kg−1 and power density of 128.01 kW kg−1. The electrochemical stability, excellent capacitive performance, and the ease of preparation suggest this RGM system is promising for future energy storage applications.

(a) Schematic illustration of the preparation process of RGM nanostructure foam. SEM images of (b–c) as-grown GM foam (d) Lightly loaded RGM, and (e) heavily loaded RGM. (Source : article above)


Check out the performance in this Ragone plot - Woah - pretty high energy density material!



(a) EIS plots and (b) high frequency region EIS plots of GM, RGM, a control sample (RuO2 nanoparticles only), respectively. (c) Ragone plot related to energy densities and power densities of the packaged whole cell RGM SC, GM SC, RuO2 nanoparticles SC, hydrous ruthenium oxide (RuO2)/graphene sheets composite (GOGSC), RuO2 nanowire/single walled carbon nanotube (SWNT) hybrid film. (Source: articlew above)