Showing posts with label precursors. Show all posts
Showing posts with label precursors. Show all posts

Wednesday, December 20, 2023

Enhancing Thin Film Deposition with Plasma-Activated Water: A Novel Approach in Atomic Layer Deposition

The research article "Novel Energetic Co-Reactant for Thermal Oxide Atomic Layer Deposition: The Impact of Plasma-Activated Water on Al2O3 Film Growth" presents a groundbreaking study on the use of plasma-activated water (PAW) in the atomic layer deposition (ALD) of Al2O3 thin films. This study offers significant insights into the potential advantages of using PAW over traditional water in thin film deposition processes.


One of the key findings of this research is the enhanced Growth Per Cycle (GPC) when using PAW as a co-reactant. The study found that PAW led to an increase in GPC of up to 16.4% compared to deionized (DI) water. This enhancement is attributed to the reactive oxygen species present in PAW, such as H2O2 and O3, which are believed to activate substrate sites more effectively, thereby improving both the GPC and the overall quality of the films.

The study also delves into the chemical reactivity of PAW, noting significant changes in its physicochemical properties upon activation. These changes include a decrease in pH, indicating increased acidity, as well as increases in oxidation-reduction potential (ORP), conductivity, and total dissolved solids (TDS). Additionally, the concentration of reactive species like H2O2, NO2−, NO3−, HNO2, and O3 was found to be higher in PAW.

The improved film quality achieved with PAW is another highlight of the study. Films grown using PAW, especially with PAW at a pH of 3.1, displayed a near-stoichiometric O/Al ratio, reduced carbon content, and an expanded bandgap. These characteristics are indicative of a superior film quality compared to those grown using DI water.

Furthermore, the study suggests that a comprehensive understanding of PAW's role in ALD necessitates further investigations. These investigations should explore different temperatures, metal precursors, and PAWs generated by alternate non-thermal plasmas.

The term “PAW-ALD” has been proposed to describe this enhanced variant of the ALD process that incorporates plasma-activated water. This new descriptor highlights the unique approach and potential benefits of using PAW in thin film deposition processes.

Finally, the potential applications of this research are significant. The use of PAW in ALD could mirror the gains observed in plasma-enhanced atomic layer deposition (PEALD) processes that use oxygen plasma, indicating its potential industrial relevance.

Source: 

Nanomaterials 202313(24), 3110; https://doi.org/10.3390/nano13243110

Nanomaterials | Free Full-Text | Novel Energetic Co-Reactant for Thermal Oxide Atomic Layer Deposition: The Impact of Plasma-Activated Water on Al2O3 Film Growth (mdpi.com)

Tuesday, November 7, 2023

MSP Launches Turbo II™ Vaporizers: Next-Gen Efficiency for Semiconductor Fabrication

MSP, a Division of TSI, has introduced the Turbo II™ Vaporizers, transforming vapor delivery for chemical vapor deposition (CVD) and atomic layer deposition (ALD) in semiconductor manufacturing. These vaporizers handle a variety of liquid precursors, including thermally sensitive ones, and boast a 200% increase in vapor output with half the size of previous models. They offer higher vapor concentrations, quicker stabilization, and faster deposition times, reducing wafer processing time and liquid waste. Additionally, the vaporizers are designed to decrease downtime and maintenance, offering a lower total cost of ownership and significant long-term cost savings. MSP's product line includes various vaporizers, VPG filters, liquid flow controllers, and semiconductor metrology equipment.


Source:

MSP Unveils Turbo II™ Vaporizers (globenewswire.com)

Friday, November 3, 2023

Entegris Reports Q3 2023 Revenue of $888M; Sees Rising Customer Interest in Innovative Solutions

Entegris, Inc. reported Q3 2023 revenue of $888 million, an 11% decrease YoY and 1% sequentially. GAAP net income was $33.2 million ($0.22 per share), including expenses like goodwill impairment and integration costs. Non-GAAP net income was $103.6 million ($0.68 per share). Q4 2023 sales are expected to be down around 2% sequentially, with GAAP EPS of $0.25-$0.30 and non-GAAP EPS of $0.55-$0.60. Customer interest is rising in Entegris' comprehensive solutions and collaborative materials development capabilities, particularly in materials like molybdenum. These solutions lead to faster development and speedier product launches, positioning Entegris as an innovation and growth partner.

Entegris, Inc. reported its third-quarter financial results for 2023, with revenue totaling $888 million, reflecting an 11% decrease compared to the same quarter in the previous year and a 1% sequential decrease. The company's GAAP net income for the third quarter was $33.2 million, resulting in earnings per diluted share of $0.22. These figures included various expenses, such as goodwill impairment, amortization of intangible assets, integration costs related to an acquisition, and other net costs. On a non-GAAP basis, the company achieved a net income of $103.6 million, with non-GAAP diluted earnings per share of $0.68.

For the fourth quarter of 2023, the company expects sales to be down approximately 2% sequentially, with a range of $770 million to $790 million in sales and diluted earnings per common share between $0.25 and $0.30 on a GAAP basis, while non-GAAP earnings per share are expected to range from $0.55 to $0.60.

Entegris operates in three segments: Materials Solutions (MS), Microcontamination Control (MC), and Advanced Materials Handling (AMH), catering to the semiconductor and high-tech industries. The company held a conference call to discuss its results on November 2, 2023. 

Entegris is experiencing rising customer interest in their comprehensive solutions and collaborative capabilities for materials development, such as molybdenum. Customers appreciate the benefits, such as faster development and improved speed, resulting in quicker product launches. This positions Entegris as a valuable partner in their customers' innovation and growth endeavors.

Switching to molybdenum (Mo) in semiconductor manufacturing for 2 nm affects multiple processes. Mo offers conductivity without needing barrier layers and is cost-effective, but its corrosion risk requires adapting steps like deposition and etching. For chemical mechanical planarization (CMP), slurries and pads must be refined to protect Mo, with lower oxidizer concentrations and customized pad designs. Word line etching, particularly for 3D NAND, faces challenges with conventional etchants and cleans, necessitating specialized etchants that prevent residue. High-purity materials and rigorous filtration are essential for yield, with in situ monitoring and multiple-stage filtration to minimize contamination. Transitioning to Mo demands a comprehensive approach to select chemicals, pads, and filters to optimize the process and yield. Close collaboration with suppliers that provide integrated solutions can smooth the transition, as using a single supplier can expedite material compatibility testing and streamline the switch.


Source - Entegris.com

The memory market presents a mixed scenario. DRAM has shown anticipated improvement, but 3D NAND remains subdued, with declining wafer starts in Q3 and no significant recovery expected in Q4. These conditions align with previous industry forecasts. Looking ahead to 2024, specific details are not yet available, but there is an expectation of increased wafer starts. More precise information will be provided in the Q4 earnings report in February, as it's currently too early to offer comprehensive insights into the upcoming year's market dynamics.

In the current year, the company has observed that all node transitions in the logic sector have occurred according to schedule, which has had a positive impact on its business performance, notably in Taiwan during the third quarter. However, within the memory segment, the company had previously forecasted delays and a lack of transitions in 3D NAND, and these expectations have been met. The initial anticipation was for many customers to adopt 200-plus layer architectures by the year's end, but this transition has not materialized as predicted. The company is now looking forward to the possibility of high-volume production at 200 layers or more in early 2024, marking a revised timeline for this development.

Sources:

Entegris, Inc. (ENTG) Q3 2023 Earnings Call Transcript | Seeking Alpha

New Materials: Smoothing the Transition to Molybdenum (entegris.com)

Migrating to Molybdenum: Comprehensive IC Solutions to Streamline the Transition (entegris.com)


Sunday, August 27, 2023

Trelleborg Sealing Solutions Unveils State-of-the-Art Semiconductor Seals and Pioneering Engineering Expertise at Semicon Taiwan 2023: Spotlight on Atomic Layer Deposition Application

Trelleborg Sealing Solutions Exhibits Advanced Semiconductor Seals and Engineering Prowess at Semicon Taiwan 2023

Trelleborg Sealing Solutions, a leading player in engineering solutions, is making waves at Semicon Taiwan 2023 by showcasing its cutting-edge engineering capabilities and an expanded range of semiconductor sealing solutions. The event, hosted at the Taipei Nangang Exhibition Center, features Trelleborg's booth highlighting their latest additions to the Isolast PureFab FFKM material range, a significant advancement in semiconductor seal technology.

At the forefront of their display is the Isolast PureFab JPF40, an ultra-high temperature perfluoroelastomer (FFKM) designed for demanding subfab applications and thermal processes. This includes pivotal processes such as rapid thermal processing (RTP) and atomic layer deposition (ALD), crucial for semiconductor manufacturing. This material boasts unparalleled compression set performance within a wide operating temperature range, ensuring airtight seals in critical processes even at extreme temperatures reaching +300°C. The remarkable capability to withstand peak application temperatures exceeding +325°C makes it a game-changer in the semiconductor industry.

Ethan Huang, the Semiconductor Segment Manager at Trelleborg Sealing Solutions, emphasized the vital role of reliable sealing solutions in safeguarding semiconductor processes against escalating temperatures and aggressive chemical agents. The Isolast PureFab JPF40 and other offerings within the PureFab range are meticulously engineered to address the unique challenges posed by semiconductor environments.

Furthermore, Trelleborg's expertise extends to predictive engineering through finite element analysis. This innovative approach aids in modeling compression set data, allowing engineers to more accurately estimate the usable lifetime of seals during design and production. This predictive technology is a significant leap forward, enabling enhanced seal longevity assessments.

A standout in their exhibition is the Isolast K-Fab Flange Seal, designed for critical subfab applications and capable of withstanding temperatures up to +327°C, dependent on material selection. The seal's versatility in material options, including Isolast FFKM, PureFab FFKM, and PureFab Fluoroelastomer (FKM), makes it adaptable to various requirements.

An interesting focus lies on Trelleborg's contributions to atomic layer deposition (ALD). Their materials, like Isolast PureFab JPF22, exhibit remarkable chemical compatibility with wet process chemicals, steam, and amine-based ALD precursors. This makes them well-suited for ALD applications, which are vital to modern semiconductor fabrication processes.

In addition to their product lineup, Trelleborg also presents the Turcon Variseal NW, showcasing their prowess in spring-energized seals for extreme environments. This seal operates across an extensive temperature range and excels in both wear resistance and friction characteristics.

Semicon Taiwan 2023 provides a platform for Trelleborg Sealing Solutions to not only showcase their groundbreaking products but also to engage with industry professionals about their specific sealing needs. The event highlights the convergence of innovative engineering and the semiconductor industry's evolving demands.

Friday, August 25, 2023

German Firm EMD Electronics Invests $300 Million to Expand Semiconductor Manufacturing in Pennsylvania

US-based EMD Electronics, a subsidiary of German Merck KGaA, is set to bolster its North American presence by establishing a $300 million semiconductor specialty gases manufacturing facility in Schuylkill County, Pennsylvania. This strategic move, aimed at doubling their production capacity for critical semiconductor components, is anticipated to generate 68 job opportunities.

The endeavor enjoys financial backing from the Pennsylvania Department of Community and Economic Development, underscoring the state's commitment to fostering business expansion. This expansion not only highlights the industry's focus on supply chain resilience, domestic manufacturing, and emerging technologies like semiconductors for AI, IoT, and 5G, but also emphasizes the notable German origin of the company.



Thursday, July 13, 2023

2023 Semiconductor Materials Market Slowing but Resilient

Material growth to resume in 2024 as industry recovers and fabs ramp up

San Diego, CA, July 12, 2023: TECHCET—the electronic materials advisory firm providing business and technology information— announced that the Total Semiconductor Materials market will contract by at least -3% in 2023 given the industry wide slowdown and reported higher levels of inventory in the materials supply chain. This year’s decline will result in revenues for Semiconductor Materials to total US$69.6 billion, down from the US$71.7 reported in 2022. However, leading-edge logic and automotive/power device production will limit the overall decline in materials revenues for 2023.
TECHCET is forecasting a strong recovery in the market in 2024, with total material revenues increasing 8% to almost US$75 billion. CAGR growth over the next 5 years is forecasted to be 4%, which will result in the market reaching US$88 billion by 2027.



To read the full article, go to: https://lnkd.in/gVan5qSq

To find out more: see TECHCET at SEMICON West at NY CREATES Booth (North Hall 5845) from July 11-13. Lita Shon-Roy, Diane Scott, Dan Tracy, and Kevin McLaughlin will all be there to share market insights and industry updates. Or visit our website here: https://lnkd.in/gJQ2Gt36.

Segment summarized by TECHCET previous recent releases:

Semiconductor Metal Plating Chemicals:

The market for semiconductor metal plating chemicals is expected to experience a slight decrease of 2% in 2023. This decline is due to lower expectations for overall wafer starts and inventory corrections within the market. Copper is the largest revenue contributor in this segment, particularly in advanced packaging wiring and interconnect copper plating. However, the market is expected to rebound in the long term, with a positive compound annual growth rate (CAGR) of 3.7% for advanced packaging and 3.3% for interconnect metal chemicals.

Semiconductor Quartz Equipment Components:

After reaching an all-time high in 2022, the market for fabricated quartz equipment components is expected to decline in 2023. The slowdown is attributed to a shift in industry investments and reduced purchase orders. However, the market is buoyed by previous purchases and backlogs, leading to a projected decline of 6% in 2023. New fab expansions are expected to drive future growth, with a CAGR of 5% from 2022 to 2027.

CMP Consumables:

Following strong performance in 2022, the CMP consumables market is forecasted to experience a slight reduction of 2.4% in 2023. The decrease is primarily due to oversupply in DRAM and market corrections. However, the market is expected to achieve a 5-year CAGR of 5.2%. The demand for new metals pads and slurry is anticipated to drive growth in this segment, particularly with the development of advanced logic methodologies and the evaluation of new BEOL materials.

Electronic Gases:

The electronic gases market is expected to witness a positive 5-year CAGR of 6.4% driven by expansions within the semiconductor industry, especially in leading-edge logic and 3DNAND applications. However, supply constraints for critical gases like B2H6 and WF6 may arise as demand outpaces supply. Disruptions in gas supply from current sources and geopolitical events may lead to shortages. Helium supply is also considered at high risk. Despite these challenges, the market is projected to grow, particularly with new fab expansions and increasing demand.

Semiconductor Wet Chemicals:

Semiconductor wet chemical revenues are forecasted to decline by 2% in 2023, following the trends in wafer starts and rising energy prices. The industry slowdown and instability from the Russia-Ukraine war contribute to the market contraction. However, the market is expected to rebound in 2024, with a 5-year CAGR of 3.9%. Phosphoric acid is the strongest segment within wet chemicals due to growth in the 3DNAND market. A holistic approach to the chemical supply chain is crucial for success in this market.

ALD/CVD Precursors:

The market for ALD/CVD precursors experienced a flattening in 2023 due to reduced memory pricing in production. However, a rebound is expected in the second half of the year, driven by the recovery of memory pricing. The market for high-ƙ and low-ƙ dielectric precursors is projected to rebound significantly in 2027, with a growth rate of approximately 19%. The market will be boosted by fab expansions, logic advancements, and increasing demand for metal and dielectric precursors.

Thursday, May 18, 2023

ALD/CVD Precursors – Better Times Ahead

Market expected to rebound with memory pricing recovery

San Diego, CA, May 16, 2023: TECHCET — the electronic materials advisory firm providing business and technology information on semiconductor supply chains — is forecasting semiconductor precursor revenues, both for high-ƙ metal dielectrics and low-ƙ dielectrics, to increase in the 2nd half of 2023, rebounding from the current 0% growth rate. The current market flattening is due to reduced memory pricing in production (DRAM and 3DNAND), as explained in TECHCET’s ALD/CVD Precursors Critical Materials Reports™. In 2027, TECHCET expects the revenues of both the high-ƙ and low-ƙ dielectric precursors to rebound significantly, reaching ~19% growth, as shown in the graph below.



The market is forecasted to also rebound from the current wafer start downturn in 2024. With expansions in 2nm and 3nm logic devices, logic wafer starts below 45nm can reach >7% CAGR in 2027. Additionally, logic growth using more mask layers will drive the demand for metal and dielectric precursors related to patterning and low-k. DRAM is also undergoing a transition to EUV (ALD/CVD hardmasks). Continued scaling of 3DNAND by all global fabricators to above 352-368 layers (using four stacks) continues to move even higher, with expectations of >500 layers by 2030. This also continues to drive the need for dielectric stack deposition, high aspect ratio etch (RIE), and deposition (ALD).

Full implementation of High-k/Metal Gate is driving demand for hafnium precursors as well. This has led to continued supply chain issues for hafnium, especially from major surges in aerospace industry demand. While many other strategic metals and rare earths used for semiconductor production primarily rely on China, hafnium does not. “China currently produces hafnium to satisfy its own demand, and demand from the West is met by production from France, the US, and ongoing expansion in Australian mining operations in the New South Wales Dubbo project,” says Jonas Sundqvist, Senior Technology Analyst at TECHCET.

For more details on the Precursor market segment and growth trajectory, including profiles on suppliers like Adeka, Air Liquide, Entegris, Hansol Chemical, and more, go to: https://techcet.com/product-category/ald-cvd-precursors/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm expert in market and supply-chain analysis of electronic materials for the semiconductor, display, solar/PV, and LED industries. TECHCET offers consulting, subscription service, and reports, including the Critical Materials Council (CMC) of semiconductor fabricators and Data Subscription Service (DSS). For additional information, please contact info@cmcfabs.org, +1-480-332-8336, or go to www.techcet.com.

Monday, September 19, 2022

New 2022 Critical Materials Reports from TECHCET

New 2022 Critical Materials Reports from TECHCET LLC CA. TECHCET provides Business Intelligence and Analysis on the Electronics and Semiconductor Materials Markets through our annually published Critical Material ReportsTM (CMR). TECHCET CMR’s are developed through extensive market research led by industry specialists. Each CMR includes Quarterly Updates, emails on Analyst’s Alerts of breaking news, and a phone consultation with the analyst.





Saturday, September 3, 2022

ASM reports that the ALD market is expected to grow by a CAGR of 16%-20% from 2020 to 2025

ASM International presented its Q2/2022 report in July and a new record orders of EUR 943 million driven by robust new node spending in logic/foundry and by recent wins in memory, particularly for ALD gap-fill in 3D-NAND and continued adoption of HKMG in DRAM.


ASM focuses on the single wafer market so the numbers presented does typically not include ALD Large Batch, which is dominated by Tokyo Electron and Kokusai. Back in 1999, ASM acquired Finnish legendary ALD company Microchemistry and its Pulsar 2000 cross-flow chamber ALD technology, which later took the market as the 300 mm version Pulsar 3000 for HfO2 in HKMG at Intel, TSMC, Samsung Globalfoundries, and others. Now it is interesting to follow how the success story continues also for DRAM HKMG. Since then, ASM has added PEALD from Genitech (South Korea) and Large Batch ALD (A412), and many other ALD process technologies. See recent announcements 2022 here:



So it is interesting that ASM has launched a new ALD Batch platform based on the now rather old A412 and the new TENZA to capture growth in Gap-fill - a stronghold for Lam Research.

The ALD market is driven by increased wafer starts in 300 mm for sub 45 nm nodes, 3DNAND and DRAM, and the ongoing fab expansions world-wide.


  • TechInsights expects WFE to increase by 21% in 2022 (July 2022)
  • Strongest growth in leading-edge nodes, spending on 7nm and below expected to grow from ~25% of WFE in 2020 to ~42% of WFE in 2025
  • Gartner expects total WFE to increase by 19% in 2022 (July 2022)
You can compare the TECHCET forecast on precursors where you can see high y-o-y growth going through 2021 and 2023 driven by increased 300 mm wafer production and a slowdown in 2023. Please find deeper dives, assessments and all the data in the TECHCET CMR reports: ALD / CVD Precursors (2) Archives - TECHCET CA LLC



Precursor market forecast 2022-2026 - Invited talk for TECHCET LLC CA in: AA2-TuM2-1 High ALD Equipment and Precursor Demand and 5-Year Forecast Due to Continued Semiconductor Device Scaling and Fab Expansions by Jonas Sundqvist.


Thursday, September 1, 2022

Supply Tightening Expected for Specialty Electronic Gases

Demand to outpace supply for NF3 and WF6 unless alternatives come into play

San Diego, CA, August 31, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— reports that the supply of Specialty Gases, nitrogen trifluoride (NF3) and tungsten hexafluoride (WF6) for electronics could tighten amongst high projected demand by 2025-2026. This forecasted steep trajectory will challenge supply-chains to keep pace. However, alternatives being developed could interrupt this trend. Both NF3 and WF6 are part of a larger US$5 billion specialty gas segment forecasted to grow 30% over the next 5 years, to total US$6.5 billion by 2026. As shown below, NF3 is expected to grow even more steeply, 72% over the forecast period (as highlighted in TECHCET’s 2022 Critical Materials Report™ on Electronic Gases).


Alternatives for these gases are currently in development which could cause a shift in growth trends. The increasing demand for NF3 in electronic manufacturing, including flat-panel displays, has triggered concern among atmospheric scientists over emissions of nitrogen trifluoride, a potent greenhouse gas. Particularly, NF3 gas has a high Global Warming Potential (GWP) compared to other gases. Consequently, the electronics industry is looking at and considering processes for on-site fluorine generation that can use F2, in place of NF3, for chamber cleaning.

...

To read the full article, click here: https://lnkd.in/g25Fa3f2

For more information on the electronic gases market outlook, check out our newest Gases Critical Materials Report™ here: https://lnkd.in/gb95EBC

Thursday, July 14, 2022

Lam Research, Entegris, Gelest Team Up to Advance EUV Dry Resist Technology Ecosystem

Collaboration provides robust chemical supply chain for global chipmakers using the breakthrough technology and supports R&D for next-generation EUV applications


SEMICON WEST 2022, SAN FRANCISCO, July 12, 2022 – Lam Research Corp. (NASDAQ: LRCX), Entegris, Inc. (NASDAQ: ENTG), and Gelest, Inc, a Mitsubishi Chemical Group company, today announced a strategic collaboration that will provide semiconductor manufacturers worldwide with reliable access to precursor chemicals for Lam’s breakthrough dry photoresist technology for extreme ultraviolet (EUV) lithography, an innovative approach used in the production of next-generation semiconductors. The parties will work together on EUV dry resist technology research and development (R&D) for future device generations of logic and DRAM products that will help enable everything from machine learning and artificial intelligence to mobile devices.


A robust supply chain for process chemicals is critical to EUV dry resist technology integration into high-volume manufacturing. This new long-term collaboration further broadens the growing ecosystem for dry resist technology and will provide dual-source supply from semiconductor material leaders with provisions for continuity of delivery in all global markets.


LAM is a semiconductor processing and fabrication equipment designer and manufacturer who has announced a new dry photoresist technology in collaboration with IMEC and ASML. This new dry technology differs from the wet photoresist currently used in all commercial semiconductor foundries such as TSMC, Intel, Samsung, Micron, Global Foundries and SK Hynix. (source: SemiAnalysis LINK)




These stochastic defects lead to a variety of issues with the future 3nm/2nm nodes. One of these issues that can be mitigated by moving to dry deposit and develop is line collapse. When the solvent is washed away, the lines can become unstable and collapse. Other issues such as line edge roughness are also mitigated when moving to a dry deposit and develop flow. (source: SemiAnalysis LINK)

In addition, Lam, Entegris, and Gelest will work together to accelerate the development of future cost-effective EUV dry resist solutions for high numerical aperture (high-NA) EUV patterning. High-NA EUV is widely seen as the patterning technology that will be required for continued device scaling and advancement of semiconductor technology over the coming decades. Dry resist provides the high etch resistance and tunable thickness scaling of deposition and development necessary to support high-NA EUV's reduced depth of focus requirements. "Dry resist technology is a breakthrough that shatters the biggest barriers to scaling to future DRAM nodes and logic with EUV lithography," said Rick Gottscho, executive vice president and chief technology officer of Lam Research. "This collaboration brings together Lam's dry resist expertise and cutting-edge solutions with material science capabilities and trusted supply channels from two industry precursor chemical leaders. This important expansion of the dry resist ecosystem paves the way for exciting new levels of innovation and high-volume manufacturing with the technology." First developed by Lam in collaboration with ASML and IMEC, dry resist extends the resolution, productivity, and yield of EUV lithography, thereby addressing key challenges associated with creation of next-generation DRAM and logic technologies. It provides superior dose-to-size and dose-todefectivity performance, enabling higher EUV scanner productivity and lower cost of ownership. In addition, Lam's dry resist process offers key sustainability benefits by consuming less energy and five to ten times less raw materials than traditional resist processes. "Lam's dry resist approach reflects key innovations at the material level and offers a wide range of advantages, including better resolution, improved cost-efficiency and compelling sustainability benefits," said Bertrand Loy, chief executive officer of Entegris. "We are proud to be a part of this innovative collaboration to accelerate dry resist adoption and to be a trusted process materials supplier for customers as they push to create the next generation of semiconductors with this important technology." "Our collaboration with Lam and Entegris to advance dry resists for EUV lithography demonstrates our commitment to support chipmakers as they innovate in materials science," said Jonathan Goff, president of Gelest, a Mitsubishi Chemical Group company. "We've seen EUV demonstrate exceptional value in recent years, and we're pleased to be part of the growing ecosystem to extend its potential."

Tuesday, July 12, 2022

Supply chain limited by Russia / US CHIPS Act a game changer

San Diego, CA, July 6, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— is forecasting semiconductor manufacturing materials to top US$65 B for 2022, a healthy 8% above 2021. “Semiconductor demand has remained strong through the first part of the year and average selling prices for materials are trending upwards,” cited Lita Shon-Roy, TECHCET’s President/CEO. In anticipation of slower market conditions, semiconductor materials market growth is currently forecasted to increase just over 2% in 2023 before further improving in 2024. “This is in keeping with cycles in demand and inventory volumes,” said Shon-Roy, as “per the latest TECHCET Critical Materials Reports™,” shown in the figure below.


While demand remains strong in 2022, a number of issues are impacting materials supply and pricing. The Russia/Ukraine region is a major part of the oil and natural gas supply chain, of which energy, specialty gases and helium are dependent. It was a region expected to play a significant role in the supply of helium this year, in addition to supporting neon and fluorocarbon production used for semiconductor manufacturing. Due to the turmoil in this area, alongside related economic sanctions against Russia, supply of these and other key gases have been curtailed, straining supply-chains around the world. Additionally, energy costs have steeply risen creating cost escalation of materials production worldwide.

Monday, June 27, 2022

ALD/CVD Precursor Markets – Burgeoning Applications

Advanced Logic and Memory Applications require more deposition materials.

San Diego, CA, June 27, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— reports that the Total ALD/CVD precursor market grew 21% in 2021, reaching US$1.39 billion and is forecasted to grow 12% in 2022. The 2022 Precursor market will top US$1.56 billion due to strong industry growth overall, driven by higher production volumes of < 7nm logic devices and higher increased stacking and layers in 3DNAND devices. The transition to EUV lithography for DRAM fabrication will also result in opportunities for increased precursor revenues. More details on these market trends will be revelaed in TECHCET’s presentation given at the 2022 ALD Conference, starting this week in Ghent, Belgium, by Jonas Sundqvist, Ph.D., or can be found in TECHCET’s newly released Critical Materials Reports™ on ALD/CVD Metal Precursors and Dielectric Precursors.


“ALD and CVD are a materials and chemistry rich industry segment with major development efforts in place, with strong prospects for growth, and for the need of new materials”, states Jonas Sundqvist, Sr. Technology Analyst at TECHCET. “New manufacturing solutions designed to meet both cost and performance will rely on ALD precursor materials.”

New materials and related process technologies are being driven by changes in device design. For advanced logic, new precursors are required for transistors to form high-κ gate dielectrics, metal gate electrodes, strain/stress epi of the channel and channel materials. DRAM memory cells continue pushing for higher-κ capacitors. And advanced devices, especially logic, demand improved interconnect wiring, barriers, seed layers, selective via capping and encapsulation, insulators, as well as new and/or more dielectrics to support EUV and advanced ArFi photolithography.

Emerging challenges persist as a result of continued dimensional scaling addressed with materials, especially new materials deposited by ALD. Area selective deposition has been a trend in the past 5 years with a growing R&D community to implement this approach in future devices.

For device specific details on the ALD/CVD Precursor markets & segments get TECHCET’s newly released Critical Materials Report™ here: https://techcet.com/product-category/ald-cvd-precursors/

Friday, June 24, 2022

Hydrogen Peroxide Gas on the road from R&D to HVM for superior HZO films

Device shrinkage, three-dimensional and High Aspect Ratio (HAR) structures, and lower thermal budgets drive the continued search for new materials. A by-product of this search is a need for better oxidants for atomic layer deposition (ALD) and other thin film deposition processes.

While metal usage is rapidly expanding across the periodic table, oxidant choices are few: water, O2, ozone, and oxygen plasma being the leading choices for thin-film processing.

Each oxidant has its strengths and weaknesses. Plasma has limitations with the line of sight and may damage underlying sensitive channel materials or metal interconnects. Ozone is too aggressive with most metals. Water and oxygen are not reactive enough for today’s lower thermal budgets and more demanding precursors. Therefore, new oxidants could help address low-temperature thermal applications and simplify precursor design and selection.

At RASIRC, the investigation began for alternative oxidants when water vapor proved too limited for many ALD applications. Interest in delivering gas generated from hydrogen peroxide liquid began in 2007, with the first commercial sales in 2011 and 2012.

While the perception of the semiconductor industry is one of rapid innovation, the adoption of new technology is a slow process. If successful, it can frequently exceed a decade to reach high volume manufacturing.

Recently, RASIRC presented (April 2022 CMC2022, AZ, USA) benchmarking hydrogen peroxide vs. water and ozone in ALD of ferroelectric hafnium zirconium oxide (HZO). HZO is one of the primary candidate materials for new non-volatile memory using a capacitor device; it can be integrated into both Logic devices and as a stand-alone memory chip similar to Flash memory.

RASIRC and UT Dallas fabricated capacitor structures (MIM) and deposited HZO using water, ozone, or hydrogen peroxide at comparable process conditions.

The first finding was that the growth rate per cycle (GPC, below left) was considerably higher in the hydrogen peroxide case, essentially lowering the overall process time and precursor consumption of rather expensive Hafnium and Zirconium precursors. The hydrogen peroxide HZO films also proved to have a higher density (XRR, below middle) and lower etch rate (wet etch rate below right).


Growth rate per cycles, density by X-ray Reflectivity (XRR) and wet etch rate determination of HZO films deposited by ALD using either hydrogen peroxide, water or ozone.

Higher density metal oxide films are a sure sign of better electrical performance regarding high-k dielectrics and ferroelectrics. First, the hydrogen peroxide films showed a comparably higher effective k-value, lower leakage current (Jg), and could withstand a higher breakdown voltage (VBD), as seen below right. Water results were inferior to both ozone and hydrogen peroxide are not shown for clarity.

Indicative for ferroelectric phase content is a peak at approx. 2T= 30.3 deg and 35.8 (below right). In X-ray diffractograms, when comparing hydrogen peroxide vs. ozone, it[JS1] was shown that the hydrogen peroxide films could show a higher orthorhombic (ferroelectric) phase content at a lower thermal budget, i.e., the onset temperature for crystallization. Even though the orthorhombic ferroelectric phase is metastable over preferred tetragonal and monoclinic HfO2 and ZrO2 most stable phases, this can be understood that the atoms in higher density and purer hydrogen peroxide films will find their optimum positions under given conditions in the lattice faster due to less disturbance from contamination species that has to diffuse out of the lattice before a ferroelectric phase content can crystalize quenching the HZO films into the metastable ferroelectric phase.


Leakage (Jg) vs breakdown voltage (VBD) and gracing incidence x-ray diffraction (GI-XRD) after post deposition anneals for hydrogen peroxide HZO films compared to ozone HZO films.

The promising results above for higher quality ferroelectric films were then proven by complete ferroelectric electric characterization sweeping the current and voltage across the capacitor structures accordingly. As seen below in the P-E hysteresis curves, a clearly defined hysteresis response curve could be verified for hydrogen peroxide HZO films at a lower RTA temperature than for ozone films, the onset of 325 vs. 350 deg C. It may seem like a slight difference, but please keep in mind that the overall thermal budget for device integration in copper interconnect layers is in the range 350 to 390 deg.C depending on layer and technology node, and it is critical to stay below this temperature and as can be seen below this study yielded beautiful ferroelectric hysteresis at 350 deg. C for hydrogen peroxide, whereas ozone films had to go up to the danger zone of 400 deg. To do the same.

P-E hysteresis curves for hydrogen peroxide (right) and ozone (left) ferroelectric HZO films for different RTA thermal budgets.

Finally, TEM analysis showed that films could be downscaled to 5 nm film thickness and most probably below, staying perfectly intact even though a high roughness metal bottom electrode was used. 


High-resolution transmission electron microscopy (HR-TEM) of ferroelectric HZO films deposited by ALD and using RASIRC hydrogen peroxide technology.

To conclude, HZO ferroelectric films showed many advantages when hydrogen peroxide was employed compared to water and ozone:

· Higher device yield as measured in the number of functional ferroelectric capacitors

· Higher density films with lower wet etch rate

· Higher effective k-value

· Faster growth (ALD GPC)

· Lower film thickness for yielding films in electrical testing

· Lower leakage current and higher breakdown voltage

· Crystallization onset for ferroelectric phase content for lower thermal budgets (RTA temperature)

Next you can meet RASIRC at the AVS 22nd International Conference on Atomic Layer Deposition (ALD 2022), will be a three-day meeting 26-29th of July in Ghent Belgium, dedicated to the science and technology of atomic layer-controlled deposition of thin films and now topics related to atomic layer etching. Jeff Spiegelman, CEO of RASIRC will be presenting “Higher Effective Dielectric Constant of Hafnium Oxide When Grown with Hydrogen Peroxide Compared to Water Vapor” in session AF-MoP18 on 27th of June.

About RASIRC

RASIRC transforms liquids into dynamic gases that power process innovation in semiconductor and adjacent markets. By commercializing molecules for lower temperature processes, RASIRC patented technology enables the manufacture of atomic-scale oxides, nitrides, and metals. Innovative products such as BRUTE Peroxide, BRUTE Hydrazine, the Peroxidizer®, and Rainmaker® Humidification Systems are being used to develop solutions for 5G, AI, IOT, and advanced automation.

What makes RASIRC a unique industry leader is our technical expertise and commitment to solving complex industry challenges for our customers. Our team of industry experts has a proven track record of being first to market by efficiently delivering state of the art technology that reduces cost, improves quality, and dramatically improves safety. With our customers at the forefront of all we do, we continue to research, develop, and design innovative products that purify and deliver ultra-pure gas from liquids for the semiconductor and related markets. Contact RASIRC to help solve your complex problems. P: 858-259-1220, email info@rasirc.om or visit http://www.rasirc.com

Thursday, June 23, 2022

TANAKA Establishes New Ruthenium Film Deposition Process That Contributes Toward Improved Durability Of Semiconductors

TANAKA Kikinzoku Kogyo K.K. (Head office: Chiyoda-ku, Tokyo; Representative Director & CEO: Koichiro Tanaka), which operates the TANAKA Precious Metals manufacturing business, announced today that TANAKA has established a two-stage film deposition process using the liquid ruthenium (Ru) precursor "TRuST." TRuST is a precursor that has excellent reactivity with both oxygen and hydrogen and can form high-quality ruthenium films. This process is a two-stage atomic layer deposition (ALD) process that uses hydrogen film formation to create a thin anti-oxidation film and oxygen for the deposition of a high-quality ruthenium film. It eradicates concerns that the substrate will become oxidized and, at the same time, can prevent the drop in ruthenium purity that occurs during hydrogen film deposition


The film deposition process was proposed by Professor Soo-Hyun Kim from the School of Materials Science and Engineering, College of Engineering, Yeungnam University in South Korea. The development and evaluation of the film deposition process were jointly conducted by Professor Kim and TANAKA Kikinzoku Kogyo.

This technology is expected to achieve greater miniaturization and improved durability of semiconductors. It can therefore be expected to be used in data centers and smartphones - which require even greater data processing capabilities - and contribute toward advanced technologies such as IoT and autonomous driving, which require sophisticated technological innovations.

Two-Stage Film Deposition Process Using Oxygen And Hydrogen

TANAKA Kikinzoku Kogyo is developing high-purity precious metal precursors centered on ruthenium for next-generation semiconductors. So far, single-stage film deposition using oxygen has been the mainstream process for film deposition. However, the company has now succeeded in a two-stage film deposition process using oxygen and hydrogen.

This two-stage film deposition process reduces the risk of surface oxidation of the base caused by hydrogen film deposition and allows high-purity film deposition that maintains ruthenium purity at almost 100% using oxygen film deposition. Furthermore, by forming the base first using hydrogen film deposition, the ruthenium film on top of the base created using oxygen film deposition will be smooth and dense, achieving a lower resistance than before.

Generally, specific resistivity increases when film thickness decreases, which is an issue in film deposition of semiconductors. With this new process, it was confirmed that an even lower resistance results from a two-stage film deposition that uses hydrogen in addition to oxygen film deposition, especially in the range of 10 nm and below. As semiconductors become even smaller in scale in the future, demand for thinner film deposition with low resistance is also expected for ruthenium films, and a two-stage film deposition allows this issue to be resolved. In addition, the new low-resistance, high-purity ruthenium film created by the two-stage film deposition can be achieved using the same raw materials and film deposition temperature for both stages. Therefore, film deposition is possible using the same film deposition equipment, allowing capital investment costs to be suppressed. Details will be announced at the AA2-TuA: ALD for BEOL session of the ALD 2022 conference being held in Ghent, Belgium, on June 28, 2022.

TANAKA Kikinzoku Kogyo's Liquid Ruthenium Precursor "TRuST"

In the past, the most common thin film and wiring materials used for semiconductors were copper, tungsten, and cobalt, but there are increasing expectations for the precious metal ruthenium to promote greater miniaturization of semiconductors because of its lower resistance and higher durability. Therefore, TANAKA Kikinzoku Kogyo developed TRuST - a liquid ruthenium precursor for chemical vapor deposition (CVD) and ALD that achieved the world's highest vapor pressure value - and started providing samples in 2020.

By increasing to the world's highest vapor pressure value, which is more than 100 times higher than existing precursors, this precursor raises the concentration of precursor in the film deposition chamber and the adsorption density of precursor molecules on the substrate surface, achieving excellent step coverage and improved film deposition speed.

State of the Semiconductor Industry and Background

The progress of advanced technologies such as IoT, AI, 5G, and the metaverse has led to rapidly increasing volumes of digital data used by digital devices such as smartphones. Therefore, in the development of semiconductors, the need for even greater miniaturization is increasing to enable the creation of devices with higher performance and lower energy consumption. In the aspect of durability, degradation due to base oxidation is also a major issue in semiconductor development. Furthermore, the development of electric vehicles and self-driving cars requires similar needs for the miniaturization of automotive semiconductors along with further improvement in durability.

In the semiconductor industry, which will require greater miniaturization and improved durability in the future, TANAKA Kikinzoku Kogyo seeks to further reduce costs and achieve higher quality by improving the film deposition speed of liquid ruthenium precursors. At the same time, the company will contribute to greater miniaturization and improved durability of semiconductors to support the development of new advanced technologies enabled by semiconductors.

Thursday, June 16, 2022

Electronic Gases Markets – To Approach a US$9 Billion Market in 2022

New materials and increasing chip design complexity drives supply-chain problems for Specialty Gases, Rare Gases and Helium

San Diego, CA, June 15, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— reports that the Electronic Gases market revenues hit US$6.3 billion in 2021 and is forecasted to grow to 8% in 2022. In its recently completed Electronic Gases Report , TECHCET forecasts the 2022 Electronic Gases market will reach almost US$6.8 billion—growth primarily attributed to Specialty Gases. As leading-logic and new generations of memory continue to ramp, Specialty Gases consumed in etching, deposition, chamber cleaning, and other applications remain in strong demand. This segment is forecasted to increase by 10% in 2022 with ~9% CAGR through to 2026, as indicated in TECHCET’s newly released 2022 Critical Materials Report™ on Electronic Gases, authored by Jonas Sundqvist, PhD.


In the near-term, there are supply issues for key industry gases, such as helium and neon; and in the longer term, the supply/demand balance for gases such as nitrogen trifluoride (NF3), tungsten hexafluoride (WF6), and others could tighten as industry demand grows.

Neon supply capacity is at risk due to the Russian invasion of Ukraine. Some gas supply from these sources may be at a permanent loss. Helium supply-chain disruptions stemming from lack of Russian supply availability are starting to have an impact on the semiconductor industry. Russia’s current export ban on helium and rare gases will prolong the supply-chain issues with Helium and rare gases like Neon. The war is only part of the helium supply issue – maintenance problems, delayed product availability, and production disruptions in other helium producing regions are all adding to the tightness in the supply chain.

As new semiconductor device fabs come online globally over the next several years, supply constraints may appear for other gases (B2H6, WF6, NF3, CF-gases) since demand increases are expected to outpace supply. With semiconductor manufacturers increasing fab production capacity, the demand for diborane (B2H6) material is rapidly increasing as it is critical in many device applications such as in doped carbon hard masks.

As more CVD/ALD deposition process passes are added for multi-patterning and EUV-lithography, cleaning demand is increasing, thus we anticipate huge growth in NF3 (used for chamber cleaning). With the current projections, demand may outrun supply causing tightness in NF3 availability come 2025-2026. Similarly, TECHCET estimates there may be supply issues with WF6 around 2025-2026. However, the possibility of molybdenum (Mo) replacing tungsten (W) for memory applications could circumvent any WF6 shortage. WF6 demand is primarily driven by vertical scaling in 3DNAND to higher layer counts, though new interconnect materials could lessen any future supply/demand imbalance.

Among the sources of electronic gases highlighted in TECHCET’s CMR are Air Products, Air Liquide, EMD / Merck, Linde, Matheson Gas, SK Materials, Gazprom, Huate Gas, Peric, and many others. For more details on the Electronic Gases market segments and growth trajectory go to: https://techcet.com/product/gases/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact info@cmcfabs.org, +1-480-332-8336, or go to www.techcet.com.

Tuesday, May 10, 2022

Semiconductor Materials at a Critical Tipping Point - Key Industry Issues Revealed

San Diego, CA, May 10, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— held its highly successful and well-attended 2022 Critical Materials Conference in Chandler, Arizona on April 28th and 29th. Over 300 attendees and speakers participated in the event to hear about and to discuss semiconductor material issues and trends that are critical to the entire semiconductor industry supply chain—now and in the future.

2022 CMC Conference Keynote Speaker, John Whitman, Corporate Vice President, Operations Central Team & Procurement at Micron Technologies Corp., and Karey Holland, Ph.D., Sr. Advisor and CMC Conference Co-Chair, TECHCET

The opening keynote for the conference was delivered by John Whitman, Corporate Vice President, Operations Central Team & Procurement; Micron Technologies Corp. and addressed the topic of “Covid Impacts on our Supply Assurance Playbook”. The Covid pandemic and subsequent logistic and other supply chain issues over the past two years have highlighted critical issues for the semiconductor industry. Just-in-time delivery has lessened as a procurement priority, and now manufacturers focus on supply chain stability. It is important for device makers to build their supply chain around Business Continuity Plans (BCP) so to ensure production schedules are maintained.

Industry-wide, there is an opportunity for companies to share information through digitization of supply-chain data. With sharing of information and data, companies across the supply chain can collaborate and solve critical issues with respect to materials delivery and performance, thus optimizing semiconductor processing.

This technical conference includes 5 impactful sessions with focuses on 1) Business Trends & Global Issues, 2) Immediate Challenges of Materials & Manufacturing, 3) Future Challenges for Equipment & Component Processes, 4) Emerging Materials & Processes, and 5) Materials for Advanced Packaging and Heterogeneous Integration.

The Conference has just opened up registration for “After Hours” interaction offering online viewing of presentation videos and pdf downloads, and virtual networking opportunities with other “attendees.”On Day 1, sessions 1 through 3 cover a gamut of topics critical to the semiconductor industry supply chain. Topics from the industry outlook for devices, semiconductor manufacturing equipment and materials, to water supply challenges, green manufacturing initiatives, and workforce development were all discussed.
On Day 2, the event focused on emerging materials applications including materials needed for 3nm and smaller technology nodes as well as material challenges of interposes versus bridges and other issues concerning wafer level modeling materials.

For more information about how to attend the CMC Conference After Hours, go to:

Friday, April 8, 2022

ALD of almost pure organic polymer (3-4 at% Al) films with stability up to 400 °C

The clever ALD people at Helsinki University just published ALD of almost pure organic polymer (3-4 at% Al) films with stability up to 400 °C. This opens the path to a lot of new cool applications. For instance one could imagine the use of ALD deposited photo resist in the semiconductor industry.


Molecular Layer Deposition of Thermally Stable Polybenzimidazole-Like Thin Films and Nanostructures 
Saba Ghafourisaleh, Timo Hatanpää, Anton Vihervaara, Kenichiro Mizohata, Marko Vehkamäki, Markku Leskelä, Matti Putkonen, Mikko Ritala 

First published: 07 April 2022 https://doi.org/10.1002/admi.202200370

The deposition of polybenzimidazole (PBI)-like thin films by molecular layer deposition is reported here for the first time using isophthalic acid (IPA) and 3,3′-diaminobenzidine (DAB) as monomers and trimethylaluminum (TMA) as a linker precursor. Two precursor pulsing sequences are tested, the ABCB (TMA + IPA + DAB + IPA) and ABC (TMA + IPA + DAB) type MLD processes result in different types of PBI-like films. With the ABCB sequence thin film growth per cycle (GPC) of 6.0 Å is obtained at 225–280 °C, whereas GPC of 7.0 Å is obtained with the ABC sequence. Films are characterized in detail by Fourier transform infrared spectroscopy, scanning electron microscopy, thermogravimetric analysis, time-of-flight elastic recoil detection analysis, and atomic force microscopy. The films have good thermal stability and withstand annealing at 400 °C in both air and nitrogen. PBI nanostructures are prepared by depositing PBI-like film on electroblown polyvinylpyrrolidone fibers and removing the template fibers by annealing or dissolution into ethanol.



Tuesday, March 8, 2022

RASIRC Granted Patent for Controlled Delivery of Hydrogen Peroxide Gas

Novel method for generation of H2O2 gas granted patent in US and Japan


San Diego, California – February 24, 2022 – RASIRC announced that the United States Patent and Trademark Office has granted Patent # US 11,154,792 B2 for a novel Method, System and Device for Delivery of Process Gas. The patent is applicable to RASIRC hydrogen peroxide products including the Peroxidizer®. The patent was also certified by the Japan Patent Office as Patent 6951321. The method enables more accurate and repeatable delivery of hydrogen peroxide gas into a wide range of flow rates, operating pressures, and temperatures.



This invention correlates instantaneous applied power to mass delivery of H2O2, largely eliminating nonuniformities in the liquid source and thermal droop. This enables the Peroxidizer to provide accurate and linear delivery of chemistry without regard to vaporization temperature or process pressure.

“By applying this new process control method, the Peroxidizer can provide linear control throughout the mass flow range independent of the carrier gas flow rate and operating pressure of the process,” said RASIRC Founder and CEO Jeffrey Spiegelman. “This design integrates custom hardware, firmware and software to improve both Peroxidizer performance and tool-to-tool repeatability, helping us meet the semiconductor standards for high volume manufacturing (HVM).”

Power control is a very effective way to deliver vapor from a liquid source. Most liquid to vapor mass flow control is managed by temperature regulation of the liquid source or bath. However, bath temperature increases with increased mass flow rate causing temperature non-uniformities, localized droop, and output instabilities. As the temperature increases the vapor pressure increases on a power curve. Error increases in a highly non-linear fashion. For example, H2O2 vapor pressure change of 1°C at 90°C is 20 times larger than 1°C at 30°C, leading to 20X increased error at the higher temperature. Power control is based on the mass evaporated so the error does not increase with increasing bath temperature.

About the RASIRC Peroxidizer®

The RASIRC Peroxidizer provides a safe, reliable way to deliver high-concentration hydrogen peroxide gas into ALD, annealing, gapfill, dry surface preparation, and cleaning processes.

About RASIRC

RASIRC transforms liquids into dynamic gases that power process innovation in semiconductor and adjacent markets. By commercializing molecules for lower temperature processes, RASIRC patented technology enables the manufacture of atomic-scale oxides, nitrides, and metals. Innovative products such as Brute Peroxide, Brute Hydrazine, the Peroxidizer, and the Rainmaker Humidification Systems are being used to develop solutions for 5G, AI, IOT, and advanced automation.

What makes RASIRC a unique industry leader is our technical expertise and commitment to solving complex industry challenges for our customers. Our team of industry experts has a proven track record of beating larger competitors to market by efficiently delivering state of the art technology that reduces cost, improves quality, and dramatically improves safety. With our customers at the forefront of all we do, we continue to research, develop, and design innovative products that purify and deliver ultra-pure gas from liquids for the semiconductor and related markets. Contact RASIRC to help solve your complex problems.



P: 858-259-1220, email info@rasirc.om or visit http://www.rasirc.com

Saturday, March 5, 2022

The Emergence of Hydrazine (N2H4) in Semiconductor Applications

 

The Emergence of Hydrazine (N2H4) in Semiconductor Applications
by Jeffrey Spiegelman and Daniel Alvarez

 Purpose

Historically, metal-nitride MOCVD and ALD films have been fabricated with Ammonia (NH3).  However, lower thermal budgets and shrinking 3-dimensional structures are needed for next generation semiconductor devices.  These challenges have exposed limitations with ammonia which could be overcome by replacing ammonia with hydrazine (N2H4).  Purity of commercially available hydrazine has  delayed its adoption.  RASIRC Inc. has recently developed a new formulation of hydrazine called BRUTE® Hydrazine which is safer and meets purity requirements for semiconductor manufacturing. Prior to Brute Hydrazine, the body of technical data applicable to semiconductor processing was limited and scattered.  This paper provides an overview of the growing activity in the thin film use of Brute hydrazine as well as early references on laboratory grade hydrazine for historical completeness.


Increasing Need for More Reactive Nitrogen Sources

Emerging devices such Logic and Advanced Memory require high quality thin (5-20 Å) electrode and barrier films.  Difficult thermal budget constraints are now being placed on well-known materials such as SiNx, TiNx and TaNx.1-3  Deposition temperature limitations have dropped to 350°C and below while very low resistivity (<150 micro-ohm/cm) for TiN and TaN must still be achieved.   Although metal and nitride films grown using plasma assisted processes (PE-ALD) and (PE-CVD) at low temperatures exhibit enhanced properties, the damage induced by plasma on sensitive substrates is one of the common drawbacks,4,5 as well as inability to support HAR or three-dimensional structures like horizontal vias and deep trenches.

III-V Nitride devices require a more reactive nitrogen source to reduce deposition temperatures and increase compositional stability.6 Growth rates for InGaN films deposited with ammonia at reduced temperatures are prohibitively slow and grossly inefficient in ammonia usage. A more reactive nitrogen source can enable acceptable deposition rates at 500-650° C, where alloy stability is significantly increased and nitride source to precursor ratio can be reduced.

In addition to growing thin nitride films, hydrazine can also act as a reducing agent for several late transition-metals.  This work is highly relevant to the use of hydrazine as a surface cleaning agent as well as a potential adder for metal ALD.7



Figure 1: Low Temperature Thermal ALD growth rate with Hydrazine comparable to PEALD with Ammonia.

The following table provides primary references for the areas of hydrazine ALD/CVD relevant to Semiconductor device applications.  Additional relevant references on related films are also included.

 

Precursor and Temperature

Film

Reference

Al surface nitridation

200C-450C

AlN

Taylor et.al.  U.S. Patent 6465350, 2002

TMA MOCVD  300C-400C

AlN

Fujieda, S. et. al.   Adv. Func. Mat.  1996, 6(3), 127-134

TDEAA  150C-225C

AlN

Abdulagatov, A.I.  et. al. Russian Microelectronics, 2018, 47(2), 118–130.

 

TMA 175C-350C

AlN

Jung, Y.C.  et. al. Materials 2020, 13, 3387;

 https://doi:10.3390/ma13153387

 

TDMAA 225C-400C

AlN

Ueda, S.T. et. al.  Appl. Surf. Sci.  2021, 554, 149656

BCl3 ,  350C

BN

Wolf, S. et. al. Appl. Surf.  Sci.   2018, 439, 689–696

Surface Clean

200C

Cu

Hwang, S.M.  et. al. ECS Trans. 2019, 92, 265

 

Surface Clean 100C-300C

Cu, Co

Hwang, S.M. “Effect of Surface Cleaning Efficacy on Vapor-Phase Cleaning of Cu and Co Using Anhydrous N2H4AVS ALD/ALE 2021 Session: Area Selective ALD AS4-1

TMG, 400C-800C

GaN

Fujieda, S.  et. al. Jpn. J. Appl. Phys.  1987, 26, 2067-2071

 

TMG, TMI, 600C-900C Theoretical

GaN, InGaN

Koukitu, A. et. al. phys. Stat. sol. (b), 1999, 216(1), 707-712

 

TMG

Theoretical

GaN

Goddard, W. et.al. J. Phys. Chem. C 2015, 119(8) 4095–4103

 

[Ru(DMBD)(CO)3]

200C. Metal Deposition

Ru

Cwik, S. et. al.  J. Vac. Soc.  Sci. & Tech. A 2020, 38, 012402; https://doi.org/10.1116/1.5125109

SiH4   550C-1050C

SiN

Yoshioka, S. et. al.   J. Electrochem. Soc. 1967, 114, 962–964.

SiH4/W hot wire  300C

SiN

Matsumura, H. 1989 Jpn. J. Appl. Phys. 28 2157

Si2H6, Si3H8 

350C-550C

SiN

Kanoh, H.  et al.  “Low-Temperature Chemical-Vapor-Deposition of Silicon Nitride” Journal de Physique IV Proceedings, 1991, 02 (C2), pp.C2-831-C2-837.

Si surface Nitridation.

300C-500C

SiN

Abyss, J.A. et. al.  J. AIChE  1995, 41, 2282–2291

Si2Cl6  285C

SiN

Edmonds, M. et. al.,   J. Chem. Phys. 2017, 146, 052820 ;  https://doi.org/10.1063/1.4975081

Si2Cl6  320C-410C

 

SiN

Kondusamy, A.  et. al.Low Temperature Thermal ALD of Silicon Nitride Utilizing a Novel High Purity Hydrazine Source”, Electrochem. Soc. AiMES 2018, Meet. Abstr.  G02-993

Si2Cl6  410C-650C

SiN

Le, D.N. et al “Thermal Atomic Layer Deposition of Silicon Nitride Using Anhydrous Hydrazine and Ammonia” AVS ALD 2021, Session AF9.

TBTDET 150C-250C

TaN

Burton, B.B., et. al. J. Electrochem. Soc. 2008, 155, D508

TBTDET 100C-300C

TaN

Wolf, S. et.al.  Appl. Surf. Science, 2018, 462, 1029-1035

TDMAT  200C

TiN

Wierda, D.A. et. al. Electrochemical and Solid-State Letters, 1999, 2 (12) 613-615

TiCl4  200C-350C

TiN

Abdulagatov, A.I.  Ph.D. Thesis, Univ. of Colorado, 2012, UMI No. 3549153

TiCl4  300C-400C

TiN

Wolf, S. et.al.  Appl. Surf. Science, 2018, 462, 1029-1035

TiCl4  300C-400C

TiN

 Kuo, C.H. et. al.Low Resistivity Titanium Nitride Thin Film Fabricated by Atomic Layer Deposition on Silicon”

  AVS ALD 2021, Session AM5-9.

TiCl4  250C-400C

TiN

Alvarez, D. et. al.  “Comparative Study of Titanium Nitride ALD using High Purity Hydrazine vs Ammonia” Electrochem. Soc. 2020 Meet. Abstr. MA2020-02 1668

BTBMW

300C

WN

Bernal-Ramos, K.   Ph.D. Thesis, Univ. of Texas, Dallas, 2014,

UMI  No. 3668896

BTBMW

250C-350C

WN

Le, D.N.  et.al. “Atomic Layer Deposition of Nanometer Thick Tungsten Nitride Using Anhydrous Hydrazine for Potential X-Ray Optics Application” AVS ALD/ALE 2021 Session: AF10-15

Discussion on Specific Films

Titanium Nitride (TiN) is a critical film in semiconductor manufacturing. Commonly TiN is utilized as an electrode material as well as a low resistivity barrier layer.  Early CVD work by Wierda demonstrated low temperature (50C-250C) TiN CVD by hydrazine and TDMAT.  Optimal results were obtained when 1.9% hydrazine was combined with ammonia.  This may be attributed to a different mechanistic pathway or ammonia dilution of oxygen containing contaminants.  Wolf later demonstrated low temperature (300C) TiN ALD with the use of TiCl4. This result was then optimized by Kuo in the same lab, where resistivities well below 180 micro-ohm/cm were achieved by reducing oxygen contamination in the film through improved hydrazine purity. A comparative study of Hydrazine vs Ammonia for TiCl4 was reported by Taiyo Nippon Sanso, where the two nitrogen sources showed highly disparate growth rates and film properties.  Hydrazine demonstrated viability at the 250C-400C range for low temperature semiconductor applications.

Silicon Nitride (SiN) is a widely used material in semiconductor devices. SiN is commonly used as an etch stop, a dielectric layer, an encapsulation layer, and as a barrier layer on organic devices.  As early as 1967, hydrazine and Silane CVD was demonstrated at 550C.  This work was then followed-up by Kanoh with higher silanes in the 350C-550C range.  In a very interesting approach, Abyss demonstrated Si surface nitridation with hydrazine at temperatures as low as 300C.  More recently, Edmonds cleverly used hydrazine/hexachlorodisilane ALD to place a thin SiN passivation layer on SiGe at 285C.  Extensive studies have been carried out by the Kim group at UT Dallas in the range of 320C-650C.  Below 400C, thermal ALD leads to films with good composition, but unfavorable low density and high wet etch rates.  This can be overcome with addition of Argon plasma densification.  At 480C and above, thermal ALD films are grown with high density, low wet etch rates, and reduced hydrogen incorporation.  When compared to ammonia grown films in the same temperature range, the hydrazine ALD films are superior up to temperatures >600C where films properties become more similar.

Gallium Nitride and Indium Gallium Nitride (GaN, InGaN) grown with hydrazine have had few publications in the last 20 years despite interest in reduction of ammonia usage and poor indium incorporation. These films are central in LEDs and emerging power devices.  Fujieda demonstrated that overall chemical consumption can be greatly reduced with hydrazine vs ammonia for GaN deposition in the 400C-800C range.  Koukitu followed this up with a theoretical thermodynamic study showing how the use of hydrazine can reduce deposition temperature and stabilize composition for GaN and InGaN films.  In 2015, Goddard elucidated the likely mechanisms for hydrazine vs ammonia is GaN deposition.

Though little has been published for GaN/InGaN deposition with hydrazine, viability for III/V materials can be inferred from work published for AlN ALD with hydrazine.  Fujieda reported MOCVD with trimethyl aluminum (TMA) in the 300C-400C range.  More recently Jung reported ALD with TMA as low as 175C and compared to ammonia in the 175C-350C range.  Abdulagatov made use of the nitride-based ligands with TDEAA/hydrazine ALD in the 150C-250C range.  In a similar approach using TDMAA, Ueda has reported the deposition of crystalline AlN films as low as 350C with thermal ALD.  With the addition of Argon plasma densification, crystalline films can be obtained as low as 225C, where crystallinity in AlN was optimized at 400C.

Copper, Cobalt and Ruthenium can be reduced in situ by Hydrazine.  Furst provided a detailed review on hydrazine as a reducing agent for organic compounds.8 Recently Hwang reported an extension of this reactivity to Cu surfaces.  Gas phase reduction of Cu oxides to Cu metal with hydrazine at moderate temperatures (100C-300C) was reported.  Here, hydrazine is introduced in short pulses, analogous to an ALD reaction. A similar report for Cobalt has also been presented by Hwang.  Cwik working in the Winter group has recently released data showing the ability to grow Ru metal using hydrazine as a reducing agent in Ru ALD at 200C.  Here hydrazine was found to be advantageous over substituted hydrazine derivatives.

Conclusion

Hydrazine is emerging as a replacement for ammonia in low temperature applications.   Recent examples of different production-worthy nitrides have been reported for both ALD and MOCVD films.  These positive reports have led to an increasing level of interest within the scientific community looking for solutions to new device structures and increased density.

Contact the Author

The author is available for additional technical discussion. Contact RASIRC to schedule an appointment.

References

1. Burton BB, Lavoie AR, George SM (2008) Tantalum nitride atomic layer deposition using (tert-Butylimido) tris(diethylamido)tantalum and Hydrazine. J Electrochem Soc 155, D508

2. Alvarez, D.; Spiegelman, J.; Andachi, K.; Holmes, R.; Raynor, M.; and Shimizu, H. Enabling Low Temperature Metal Nitride ALD Using Ultra-High Purity Hydrazine: ET/ID: Enabling Technologies and Innovative Devices. 2017 28th Annu. SEMI Adv. Semicond. Manuf. Conf., Saratoga Spring, NY, USA, 2017, 426–430.

3. Hwang, S.M.; Kim, H.S.; Le, D.N.; Ravichandran, A.V.; Sahota, A.; Lee. J.; Jung, Y.C.; Kim, S.J.; Ahn, J.; Hwang, B.K.; Lee, L.; Zhou, X.; and Kim, J. Plasma-Enhanced Atomic Layer Deposition of Nanometer-Thick SiNx Films Using Trichlorodisilane for Etch-Resistant Coating. ACS Appl. Nano Mater. 2021, 4, 2558–2564. https://doi.org/10.1021/acsanm.0c03203.

4. Kim, H.; Oh, I.-K.; Review of Plasma-Enhanced Atomic Layer Deposition: Technical Enabler of Nanoscale Device Fabrication. Jpn. J. Appl. Phys. 2014, 53, 03DA01. https://doi.org/10.7567/JJAP.53.03DA01.

5. Mussroot, J. et.al. Microelectronic Engineering 86 (2009) 72-77. http://dx.doi.org/10.1016/j.mee.2008.09.036

6. Ravinder Kour et al 2020 ECS J. Solid State Sci. Technol. 9, 015011

7. Hwang, S. M.; Peña, L. F.; Tan, K.; Kim, H. S.; Kondusamy, A. L. N.; Qin, Z.; Jung, Y. C.; Veyan, J.-F.; Alvarez, D.; Spiegelman, J.; et al. Vapor-Phase Surface Cleaning of Electroplated Cu Films Using Anhydrous N2H4. ECS Trans. 2019, 92, 265–271.

8. Furst, A. et. al. Chem. Rev. 1965, 65, 51–68.