Showing posts with label patterning. Show all posts
Showing posts with label patterning. Show all posts

Monday, October 29, 2018

Coventor - N7 FinFET Self-Aligned Quadruple Patterning Modeling

Coventor just released a white paper for ther modelling on FinFET Self-Aligned Quadruple Patterning for the 7nm node (N7).

You can request the paper for download here: LINK

White Paper : N7 FinFET Self-Aligned Quadruple Patterning Modeling

In this paper, we model fin pitch walk based on a process flow simulation using the Coventor SEMulator3D virtual platform. A taper angle of the fin core is introduced into the model to provide good agreement with silicon data. The impact on various Self-Aligned Quadruple Patterning process steps is assessed. Etch sensitivity to pattern density is reproduced in the model and provides insight on the effect of fin height variability.
 
 

Thursday, October 25, 2018

Patterned films by atomic layer deposition using Parafilm as a mask

Recently I have worked a lot with etch people. These guys are definitely a completely different breed from us ALD people. and I have gotten a bit annoyed of their obsession in using Capton Tape all the time. It is rather annoying to cut perfect pieces and then try to separate the protective film on the double sided sticky tape and then it is alos very tedious to clean up after you exposed it to a plasma with nasty gases. Now there is an alternative worth testing also for etch process development!  And for sure - ALD guys are behind it once again saving the etchers and Litho people like we did for multiple patterning.
Here is a very practical and low cost method for all of you who have the need to depositing patterned films by ALD or protect the substrate or certain areas from ALD film growth like the back side or edge. Zhang et al for University of Helsinki has investigated using Parafilm as a mask to block the film growth on selected areas of the substrate surface. Interestingly it works also well above the melting temperature of Parafilm (60 °C) since parfin in this form is very viscous up to the range 275 to 300 °C, below which quite many ALD processes produce high quality films.


A box of parafilm (From Wikimedia Commons, the free media repository)
On an interesting side note, the researchers from Helsinki has actually an Ir-ALD based device on board of the Mercury space ship BepiColombo heading towards the planet as we speak. According to Prof. Ritala [LINK] it is a ALD-Ir coated microchannel plate for x-ray focusing. However if this device had any Parafilm patterning involved in the making I do not know. Anyway in about 7 years we all look forward to see some results from the Satellite using high class Ir-ALD from Helsinki.
The study concludes:
  • The use of Parafilm as a blocking mask is a simple, clean and effective method for ALD film patterning.
  • The blocking ability was tested for ALD of Al2O3, TiO2 and Ir.
  • The Parafilm method works up to 275 °C but above 300°C the melted film will flow on vertical samples
  • Outgasing from the Parafilm at elevated temperatures may hinder growth around the edge of the Parafilm (observed for TiO2 and Ir ALD).
  • Potential use of the method besides R&D is seen for protecting contact areas in IC and MEMS

Patterned films by atomic layer deposition using Parafilm as a mask

Zhang, Chao ; Kalliomäki, Jesse ; Leskelä, Markku ; Ritala, Mikko
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, January 2018, Vol.36(1)
https://avs.scitation.org/doi/abs/10.1116/1.5001033

This paper presents a new method for depositing patterned films by atomic layer deposition (ALD) using Parafilm as a mask to block the film growth on selected areas of the substrate surface. This offers an easy and efficient method for large area patterning from the millimeter to even meter scale as needed, for example, for protecting contact areas in integrated circuits and microelectromechanical system devices and in preventing film growth on the backside of substrates. It is shown here that Parafilm can protect the substrate against Al2O3, TiO2, and Ir film growth effectively. However, outgassing from the Parafilm affects the film growth on the unmasked areas differently for the three materials. For Al2O3, there are no significant effects on the growth rate and film quality on the nonmasked areas and the thickness profiles next to the Parafilm masked areas are narrow. For TiO2 and Ir, by contrast, the thickness profiles are wider and outgassing also slows down the Ir growth. Energy dispersive x-ray analysis confirms that Ir does not grow on Parafilm. Al2O3 and TiO2 films do grow on Parafilm, but after the ALD process, the Parafilm can be peeled off easily with tweezers and the areas under the Parafilm mask are without any deposition.

Saturday, September 8, 2018

Combining Focused Ion Beam Patterning and Atomic Layer Deposition for Nanofabrication


While the big guys are banging there heads against the wall to achieve smaller critical dimensions for Logic and Memory fabrication using classical top down Litho-Etch patterning ALD has stepped in multiple times to save the world. Some examples in high volume manufacturing:
  • ASD - Area selective deposition by ether CVD or ALD
  • SADP - Self-aligned double patterning
  • SAQP - Self-alignes quadruple patterning
  • Depositing hardmask materials and liners in advanced patterning schemes for high aspect ratio and dense features
Besides ALD, ALE is used for trimming of pattern features such as FinFETs and hardmasks, or to fabricate smallest feature nano-imprint stamps and even to split nano wires longitudinal. There is basically no end to what you can do once you have atomic level control of things.

Focused Ion Beam (FIB) is a technology that is available in most material analytical labs and fabs as in-line metrology to make sample preparations or repair and is also used in standard manufacturing for lithography masks, i.e., there are tools out there that can shuffle substrates and 300 mm wafers at high speed.

Ph.D. Thesis defence (picture from Twitter, HelsinkiALD

That is why this thesis by Zhongmei Han is indeed very interesting and worth reading - congratulations to the recent defense in Helsinki!

Combining Focused Ion Beam Patterning and Atomic Layer Deposition for Nanofabrication

Doctoral Thesis, Zhongmei Han
Department of Chemistry, Faculty of Science, University of Helsinki, Finland

For nanofabrication of silicon based structures, focused ion beam (FIB) milling is a top-down approach mainly used for prototyping sub-micron devices, while atomic layer deposition (ALD) is a bottom-up approach for depositing functional thin films with excellent conformality and a nanometer level accuracy in controlling film thicknesses. Combining the strengths of FIB milling with ALD provides new opportunities for making 3D nanostructures. In FIB milled silicon, the gallium implanted surface suffers from segregation and roughening upon heating, which makes the thermal stability of the as-milled substrate a concern for the following ALD processes which are typically performed at temperatures of 150 ℃ and higher. This study aimed to explore methods for improving the thermal stability of FIB milled silicon structures for the following ALD processes. The other aim was to fabricate nanostructures by alternately using FIB milling and ALD approaches on silicon and oxide thin film materials. The experiments were started on the reduction of gallium implantation during FIB milling of silicon substrates using different incident angles. Oblique incidence of the ion beam was found an effective method for improving the thermal stability of the FIB milled silicon surfaces by decreasing their gallium content. The improved thermal stability allowed to apply ALD Al2O3 on the FIB milled surfaces to make nanotrenches. Wet etching in KOH/H2O2 was found as a second method for improving the thermal stability by removing the gallium implanted silicon layer. ALD Al2O3 thin films can be applied as milling masks to limit amorphization of silicon upon FIB milling. With the aid of KOH/H2O2 etching, nanopore arrays, nanotrenches and nanochannels were fabricated. ALD grown Al2O3/Ta2O5/Al2O3 multilayers were FIB milled and wet etched to form both 2D and 3D hard masks. The fabricated 2D masks were used for making metal structures which are applicable for electrical connections. Thin film resistors were also fabricated using this 2D mask system. In conclusion, this study illustrates that combining FIB patterning and ALD is feasible for 3D nanofabrication when the stability of FIB milled surfaces is considered and improved. 
 

Monday, April 18, 2016

ALD and CNT template produces sub-5 nm features

As reported by Nanotechweb.org : Researchers at Korea University are reporting on a new way to make nano-trenches less than 5 nm deep with a technique called atomic-layer deposition (ALD), and single-walled carbon nanotubes as templates. The structures produced could be used to make high-density resistive components for a wide range of nanoelectronics devices.

Full story here

(a) Schematic diagram representing the creation of SiO2 nano-trenches. AFM image of (b) nano-trenches after further reactive ion etch of SiO2 through an alumina mask, and (c) SiO2 nano-trenches obtained by an additional etching in RIE and wet etching of alumina. Courtesy: Nanotechnology

Friday, December 25, 2015

Self-assembled block copolymer template and ALD from Israel University of Technology

Here is a cool paper from from Prof. Gitti Frey and Moshe Moshonov at Technion, Israel Institute of Technology, Haifa Israel on Self-assembled block copolymer template and ALD. This is a rather hot topic for future nano patterning. They are using an ALD reactor that I did not come across until now - a MVD100E Applied MST system with an integrated oxygen plasma module to do ALD of ZnO into the organic films and self assembly of Block Copolymers.


The MVD100E Applied MST is a 200 mm tool capable of Molecular Vapor Deposition (MVD) and ALD for R&D or pilot manufacturing. It is designed for high performance, flexibility and reliability for the most demanding applications. Corporate and Academic Research Labs have called it their most versatile and reliable piece of equipment (http://www.appliedmst.com/mvd-100e/)

Here is also a video that I found on Youtube on how to operate the MVD100E tool from The Integrated Nanosystems Research Facility at The University of California, Irvine (INRF UCI).



Directing Hybrid Structures by Combining Self-Assembly of Functional Block Copolymers and Atomic Layer Deposition: A Demonstration on Hybrid Photovoltaics

Moshe Moshonov and Gitti L. Frey
Langmuir, 2015, 31 (46), pp 12762–12769 DOI: 10.1021/acs.langmuir.5b03282


The simplicity and versatility of block copolymer self-assembly offers their use as templates for nano- and meso-structured materials. However, in most cases, the material processing requires multiple steps, and the block copolymer is a sacrificial building block. Here, we combine a self-assembled block copolymer template and atomic layer deposition (ALD) of a metal oxide to generate functional hybrid films in a simple process with no etching or burning steps. This approach is demonstrated by using the crystallization-induced self-assembly of a rod–coil block copolymer, P3HT-b-PEO, and the ALD of ZnO. The block copolymer self-assembles into fibrils, ∼ 20 nm in diameter and microns long, with crystalline P3HT cores and amorphous PEO corona. The affinity of the ALD precursors to the PEO corona directs the exclusive deposition of crystalline ZnO within the PEO domains. The obtained hybrid structure possesses the properties desired for photovoltaic films: donor–acceptor continuous nanoscale interpenetrated networks. Therefore, we integrated the films into single-layer hybrid photovoltaics devices, thus demonstrating that combining self-assembly of functional block copolymers and ALD is a simple approach to direct desired complex hybrid morphologies.

Sunday, November 29, 2015

ALD Iridium used to fabricate Ultra-high Resolution Fresnel Zone Plates

According to US Department of Energy, Zone-plate microscopes play a crucial role in various critical science areas such as energy storage, catalysis, photovoltaics, energy conversion, and unconventional oil recovery.
  • Current microscopes are limited to resolutions of 15-20 nm in the soft X-ray range and 50-70 nm in the hard X-ray range. 
  • Pushing resolutions to the 5-10 nm range will have dramatic new impacts on science and technology.

Similar to the double pattering technique used today in the semiconductor industry, scientists at Paul Scherrer Institut in Switzerland employ ALD Iridium  in high aspect ratio structures to increase the resolution of Fresenel Zone Plates beyond the limit of e-beam lithography.


FIB cross section of a line doubled iridium zone plate (Figure from Paul Scherrer Institut)

"To further increase the resolution of Fresnel zone plates beyond the limits of electron-beam lithography, we have developed a novel technique based on the coating of a template structure with a metal layer. The electron-beam written template is coated uniformly with iridium using an atomic layer deposition (ALD) process (see figure 1). As iridium has a much higher x-ray refractive index as the template, we obtain a doubling of the effective zone density and subsequent improvement of the resolution by a factor of two compared to the template structure."

Friday, November 20, 2015

Can ALD save Moore´s Law?

Can ALD save Moore´s Law? - I would say it already did at the introduction og HKMG and some other things. Here is a great peace by Mark Lapedus with some insights from the leading extperts of industry and academia and I selected to high light some of them below:

Can Nano-Patterning Save Moore’s Law?

Selective deposition is showing promise in the lab, but it’s a long way from there to production.

For years the academic community has explored a novel technology called selective deposition. Then, more than a year ago, Intel spearheaded an effort to bring the technology from the lab to the fab at 7nm or 5nm. Today, selective deposition is still in R&D, but it is gaining momentum in the industry.


 “In order to make ALD-enabled nano-patterning available in the semiconductor industry, careful ALD precursor and reactant selections are required,” Samsung’s Han Jin Lim said.  



“There are a couple of places where selective deposition has been done in the past. But the applications have been pretty specific, where we have gotten our arms around the defectivity issues,” said Dave Hemker, senior vice president and chief technology officer at Lam Research. “But anytime you go selective and deposition, you’ve have to make sure the defectivity and other issues are solved. As we learn more about it, we’ll see more applications coming out of it.”



“With SAM, it might be possible to do selective-area ALD or CVD by area-deactivation,” said Erwin Kessels, a professor at the Eindhoven University of Technology. “But this only provides a solution in cases where the substrate is already patterned. Selective deposition by area-deactivation doesn’t really help you in most cases when you really want to generate patterns from the bottom-up, which is the ultimate aim. Yet, it would still be helpful to reduce the number of litho steps.”

Continue reading : http://semiengineering.com/can-nano-patterning-save-moores-law/ 

Monday, November 9, 2015

Open Source Review : Nanoscale Structuring of Surfaces by Using Atomic Layer Deposition


To get a good overview on the most recent developments in ALD enabled patterning you should take a look at this open source review from Eduard-Zintl-Institut für Anorganische und Physikalische Chemie, Technische Universität Darmstadt.

Download PDF here: http://onlinelibrary.wiley.com/doi/10.1002/anie.201503680/pdf

The most intriguin example give is probably this one doing molecule templated ALD producing lateral CDs in the order of 2 nm - Cool!


Nanoscale Structuring of Surfaces by Using Atomic Layer Deposition

Nicolas Sobel and Christian Hess
, DOI: 10.1002/anie.201503680
 
Controlled structuring of surfaces is interesting for a wide variety of areas, including microelectronic device fabrication, optical devices, bio(sensing), (electro-, photo)catalysis, batteries, solar cells, fuel cells, and sorption. A unique feature of atomic layer deposition (ALD) is the possibility to form conformal uniform coatings on arbitrarily shaped materials with controlled atomic-scale thickness. In this Minireview, we discuss the potential of ALD for the nanoscale structuring of surfaces, highlighting its versatile application to structuring both planar substrates and powder materials. Recent progress in the application of ALD to porous substrates has even made the nanoscale structuring of high-surface-area materials now feasible, thereby enabling novel applications, such as those in the fields of catalysis and alternative energy.

Sunday, October 25, 2015

Self-Correcting Process for High Quality Patterning by Atomic Layer Deposition

Self-Correcting Process for High Quality Patterning by Atomic Layer Deposition

Fatemeh Sadat Minaye Hashemi, Chaiya Prasittichai, and Stacey F. Bent

ACS Nano, 2015, 9 (9), pp 8710–8717


Author Fatemah Hashemi discusses their new atomic layer deposition (ALD) approach, which combines selective etching with selective depositon. Read the related ACS Nano article at http://pubs.acs.org/doi/abs/10.1021/a...


Nanoscale patterning of materials is widely used in a variety of device applications. Area selective atomic layer deposition (ALD) has shown promise for deposition of patterned structures with subnanometer thickness control. However, the current process is limited in its ability to achieve good selectivity for thicker films formed at higher number of ALD cycles. In this report, we demonstrate a strategy for achieving selective film deposition via a self-correcting process on patterned Cu/SiO2 substrates. We employ the intrinsically selective adsorption of octadecylphosphonic acid self-assembled monolayers on Cu over SiO2 surfaces to selectively create a resist layer only on Cu. ALD is then performed on the patterns to deposit a dielectric film. A mild etchant is subsequently used to selectively remove any residual dielectric film deposited on the Cu surface while leaving the dielectric film on SiO2 unaffected. The selectivity achieved after this treatment, measured by compositional analysis, is found to be 10 times greater than for conventional area selective ALD.

Sunday, July 19, 2015

Self-Correcting Process For High Quality Patterning By Atomic Layer Deposition

An interesting publication using ALD for advanced pattering method which is a quite hot topic these days when lithography tools are swelling in all directions and just getting slower - The research is from the Bent Research Group at Stanford University!


Self-Correcting Process For High Quality Patterning By Atomic Layer Deposition.

Minaye Hashemi FS, Prasittichai C, Bent SF.

 

 

Schematic demonstrating self-aligned patterning through a combination of selective deposition (using ODPA SAM blocking layer on Cu oxide) and selective removal (using acid) of dielectric material. (Graphical abstract ACS Nano, Article ASAP,DOI: 10.1021/acsnano.5b03125)

Nanoscale patterning of materials is widely used in a variety of device applications. Area selective atomic layer deposition (ALD) has shown promise for deposition of patterned structures with sub-nanometer thickness control. However, the current process is limited in its ability to achieve good selectivity for thicker films formed at higher number of ALD cycles. In this report, we demonstrate a strategy for achieving selective film deposition via a self-correcting process on patterned Cu/SiO2 substrates. We employ the intrinsically selective adsorption of octadecylphosphonic acid self-assembled monolayers on Cu over SiO2 surfaces to selectively create a resist layer only on Cu. ALD is then performed on the patterns to deposit a dielectric film. A mild etchant is subsequently used to selectively remove any residual dielectric film deposited on the Cu surface while leaving the dielectric film on SiO2 unaffected. The selectivity achieved after this treatment, measured by compositional analysis, is found to be ten times greater than for conventional area selective ALD.