Showing posts with label ferroelectric. Show all posts
Showing posts with label ferroelectric. Show all posts

Wednesday, June 28, 2017

Formation of BiFeO3 from a Binary Oxide Superlattice Grown by ALD

Drexel University has been developing Bi-Fe-O ferroelecttrics (and others) for many years and here they report on ALD of polycrystalline BiFeO3 thin films on SiO2/Si(001) by ALD using  ferrocene, triphenyl-bismuth, and ozone. Due to its ferroelectric properties BiFeO3 is intersting for future non-volatile memory (FeFETs and FRAM). The ALD of Bi-Fe-O thin films were carried out in a Picosun R200 Advanced Reactor (Supporting Information, for all the process details).


However, iron is not likely to be used in a standard 300mm CMOS fab today, neither in front nor in backend of line - it´s just diffusing to fast to places it is not wanted. But that said, a stand alone memory technology in a dedicated fab may find ways to handle that. The other contender for novel highly scaled ferroelectric metal oxides is the HfO2 based systems researched by NaMLab, Fraunhofer and GloFo in Dresden Germany. Those systems looks much more promising since they are already shuffling leading edge 300 mm wafers since a while now and HfO2 has been in HVM since 2004 (Samsung 90 nm DRAM, HfAlOx MIS stack). The HfO2 ferroelectric R&D has been going on for more than 10 years actually. This just show s how long time materials and device development takes in the semiconductor industry


Formation of BiFeO3 from a Binary Oxide Superlattice Grown by Atomic Layer Deposition


ChemPhysChemEarly View, Version of Record online: 20 JUN 2017
DOI: 10.1002/cphc.201700407

Abstract: By growing alternating layers of Fe2O3 and Bi2O3, we employ a superlattice approach and demonstrate an efficient control of the cation stoichiometry. The superlattice decay and the resulting formation of polycrystalline BiFeO3 films are studied by in situ X-ray diffraction, in situ X-ray photoelectron spectroscopy, and transmission electron microscopy. No intermediate ternary phases are formed and BiFeO3 crystallization is initiated in the Bi2O3 layers at 450 °C following the diffusion-driven intermixing of the cations. Our study of the BiFeO3 formation provides an insight into the complex interplay between microstructural evolution, grain growth, and bismuth oxide evaporation, with implications for optimization of ferroelectric properties.

Wednesday, June 7, 2017

Another breakthrough in CMOS-compatible ferroelectric memory

Imec, the world-leading research and innovation hub in nanoelectronics and digital technology, announced today at the 2017 Symposia on VLSI Technology and Circuits the world's first demonstration of a vertically stacked ferroelectric Al doped HfO2 device for NAND applications. Using a new material and a novel architecture, imec has created a non-volatile memory concept with attractive characteristics for power consumption, switching speed, scalability and retention. The achievement shows that ferro-electric memory is a highly promising technology at various points in the memory hierarchy, and as a new technology for storage class memory. Imec will further develop the concept in collaboration with the world's leading producers of memory ICs.

Full story : LINK

Sunday, January 15, 2017

Intermolecular enhances ferroelectricity in dopant-free ALD hafnium oxide

In a new paper published in Applied Physics Letters, Intermolecular discusses how it has developed a method for improving the ferroelectric properties of pure ALD hafnium oxide without introducing additional dopants. [Intermolecular, LinkedIn]
Ferroelectric hafnium oxide (HfO2) is being used in development for non-volatile memory applications, In front end by a ferroelectric MIS-FET and in backend by a MIM Capacitor integration. Since HfO2 is a standard material in both DRAM and Logic since 10 years or more the deposition method, tools an precursors for depositing ultra-thin layers by atomic layer deposition (ALD) are available and therefore very attractive choice as compared to more exotic materials (Sr, Ba) or previous PZT based ferroelectrics.

In previous studies, mixing hafnium and zirconium oxide together, doping the HfO2 with other elements, like silicon, aluminum, yttrium, strontium, lanthanum, and gadolinium, have been used to induce ferroelectricity in HfO2.
Now however, Intermolecular present new results (see below) were they by controlling the oxidant dose can promote ferroelectricity in dopant-free ALD hafnium oxide films. They were able to com near to total suppression of the monoclinic phase in sub-10 nm hafnium oxide films and obsreve a remanent polarization of 13.5 μC/cm2 in a 6.9 nm-thick hafnium oxide film. 
This is a similar high-k film thickness that is used in DRAM and embedded DRAM MIM Caps and also about the sam thickness that Globalfoundries, NaMLab and Fraunhofer have reported for their 28 nm FEFET NVM cells.

Tuesday, December 6, 2016

UC Berkeley invest in Ultratech CNT Plasma ALD for Ferroelectric High-k materials research

SAN JOSE, Calif., Dec. 6, 2016 /PRNewswire/ -- Ultratech, Inc. (Nasdaq: UTEK), a leading supplier of lithography, laser-processing and inspection systems used to manufacture semiconductor devices and high-brightness LEDs (HB-LEDs), as well as atomic layer deposition (ALD) systems, today announced that the Laboratory for Emerging and Exploratory Devices (LEED), led by Professor Sayeef Salahuddin, Ph.D. of the Electrical Engineering and Computer Sciences Department at UC Berkeley (EECS UC Berkeley), has chosen the Ultratech-CNT Fiji G2 PEALD system as its instrument of choice for its research activities. Professor Salahuddin was recently honored at the White House by President Barack Obama for his work in developing nano-scale electronic and spintronic devices for low power logic and memory applications.

"ALD provides an exciting way of accessing ferroelectric materials, which play a key role in these types of devices, by providing a means of controlling the film properties through the precise engineering of the composition," noted Professor Salahuddin. "This has led the way for us to explore the ferroelectric properties of metal oxides, such as Hafnium oxide, by adding a variety of dopants, such as silicon (Si), aluminum (Al), and yttrium (Y). Our decision in choosing the Fiji system was motivated not only by the system's performance, and flexibility but also because of the strong reputation that the Ultratech ALD team has for R&D expertise, coupled with its excellent support."

Adam Bertuch, senior thin film scientist at Ultratech-CNT, who has played a key role in the development of PEALD oxides at the company, said, "The Fiji is an extremely versatile instrument, which has been at the leading edge of the development of complex materials. Professor Salahuddin's work in the field of ferroelectric materials speaks for itself, and we are looking forward to having a strong collaborative relationship with him, as well as his scientific group at UC Berkeley."

The Fiji series is a modular, high-vacuum ALD system that accommodates a wide range of deposition modes using a flexible architecture and multiple configurations of precursors and plasma gases. The result is a next-generation ALD system capable of performing thermal and plasma-enhanced deposition (LINK).

Ultratech Fiji G2 ALD System

For advanced thin films, the Fiji series is a modular, high-vacuum ALD system that accommodates a wide range of deposition modes using a flexible architecture and multiple configurations of precursors and plasma gases. The result is a next-generation ALD system capable of performing thermal and plasma-enhanced deposition. Ultratech CNT has applied advanced computational fluid dynamics analyses to optimize the Fiji reactor, heaters, and vapor trap geometries. The system's intuitive interface makes it easy to monitor and change recipes and processes as required. The Fiji is available in several different configurations, with up to six heated precursor ports that can accommodate solid, liquid or gas precursors, and up to six plasma gas lines. Options include a built-in ozone generator, Load Lock as well as several in-situ analysis tools, which offer significant experimental flexibility in a compact and affordable footprint.

Ferroelectric HfO2 at IEDM 2016

Ferroelectric HfO2 was a hot topic today Tuesday at IEDM. At 10:45 AM - A 28nm HKMG Super Low Power Embedded NVM Technology Based on Ferroelectric FETs was presented by Globalfoundries, NaMLab and Fraunhofer.


Figure form the IEDM abstract shared on LinkedIn by Prof. Thomas Mikolajick, Scientific Director at NaMLab gGmbH, Dreden, Germany.
 

Friday, October 28, 2016

Ferroelectric memory startup aims at GloFo's 22FDX at Fab1 in Dresden

Here is good and promising news about the Ferroelectric Mmeorz Company (FCM) in Dresden (as published by EE Times):
 
TEM of FeFET processed in 28 nm high-k metal gate CMOS Technology (left) and 2D TCAD-model for device simulation (right). (Picture from NaMLab)
 
The company, a spin-off from the nano- and micro- laboratory (NaMLab) at the Technical University of Dresden, is making use of the recently discovered ferroelectric effect in silicon-doped hafnium dioxide. The company has made progress over the last year in terms of establishing hafnium ferroelectric memory as design choice for embedded nonvolatile memory in 28nm processes and below. 

The 64kbit active array was developed with Globalfoundries Inc. and is the subject of a paper due to be presented at the upcoming International Electron Devices Meeting (IEDM) in San Francisco in December. Meanwhile FMC is seeking funds. Having received more than €4 million (about $4.4 million) in government grants the company says it is looking approximately €2 million more Series A funding round.

Continue reading in EE Times about FCM here: 

Ferroelectric memory startup aims at GloFo's 22FDX

Electronics EETimes (registration)-vor 20 Stunden
The company, a spin-off from the nano- and micro- laboratory (NaMLab) at the Technical University of Dresden, is making use of the recently ...

Dresden Memory Startup To Debut At Semicon Europa

EE Times-15.09.2015
The company is the product of work at NaMLab on the ferroelectric effect in thin films of silicon-doped hafnium dioxide. That work was, in turn, ...

Thursday, April 14, 2016

Scientists from MIPT have succeeded in growing ultra-thin 25 Å HfO2 ferroelectric films


Scientists from MIPT have succeeded in growing ultra-thin (2.5-nanometre) ferroelectric films based on hafnium oxide that could potentially be used to develop non-volatile memory elements called ferroelectric tunnel junctions. The results of the study have been published in the journal ACS Appl. Mater. Interfaces.





"Since the structures of this material are compatible with silicon technology, we can expect that new non-volatile memory devices with ferroelectric polycrystalline layers of hafnium oxide will be able to be built directly onto silicon in the near future," says the corresponding author of the study and head of the Laboratory of Functional Materials and Devices for Nanoelectronics, Andrei Zenkevich.

The cross section of the non-volatile memory structure shows a polycrystalline fused film of hafnium and zirconium oxides grown on a highly doped silicon substrate (upper electrode, titanium nitride)
(Source: Moscow Institute of Physics and Technology (MIPT), as published in EE Times)

As for you following this blog this is a break trough in the sense that previous work by Globalfoundries, NaMLab and Fraunhofer IPMS-CNT on ferroelectric hafnium oxide has always been much thicker (~70Å ) than a standard HfO2 used in HKMG technology that is typically 17 to 20 Å or so. Thick HfO2 is difficult to pattern since the etch species are not that volatile and therefore you need an advanced chuck in the etch chamber that can etch at elevated temperatures where the Hf-species are volatile enough to go in the general direction of the pump line without condensation anywhere on the wafer.

Read the full story here and the abstract is posted below:  https://www.sciencedaily.com/releases/2016/04/160414095545.htm

As for the deposition method they used the well known TEMAH-H2O and TEMAZ-H2O ALD processes. It would be nice for all of us ALD process guys if you also mentioned the reactor or at least type of reactor used. A deposition temperature of 240 C means one thing in a hot wall reactor and totally something different in a warm wall reactor for instance.  For some reason physics, device & integration guys typically leave out this information - it is top secret even that ALD is a standard method today! The ellipsometer or AFM tool used or the TEM is always over specified, over specified - yeah you know even the AFM tips are specified. In this case, the supporting information reveals that the ALD reactor is coupled to a photoelectron spectroscopy (XPS) analysis chamber so possibly it is a custom ALD chamber that has been used.

Ultrathin Hf0.5Zr0.5O2 Ferroelectric Films on Si

Anna Chernikova, Maksim Kozodaev, Andrei Markeev, Dmitrii Negrov, Maksim Spiridonov, Sergei Zarubin, Ohheum Bak, Pratyush Buragohain, Haidong Lu, Elena Suvorova§, Alexei Gruverman*, and Andrei Zenkevich*
Moscow Institute of Physics and Technology, Dolgoprudny, Moscow Region 141700, Russia
Department of Physics and Astronomy, University of Nebraska, Lincoln, Nebraska 68588-0299, United States
§ École Polytechnique Fédérale de Lausanne, Lausanne, CH-1015, Switzerland
A.V. Shubnikov Institute of Crystallography, Leninsky pr. 59, Moscow 119333, Russia
NRNU “Moscow Engineering Physics Institute”, Moscow 115409, Russia
ACS Appl. Mater. Interfaces, 2016, 8 (11), pp 7232–7237
DOI: 10.1021/acsami.5b11653
Because of their immense scalability and manufacturability potential, the HfO2-based ferroelectric films attract significant attention as strong candidates for application in ferroelectric memories and related electronic devices. Here, we report the ferroelectric behavior of ultrathin Hf0.5Zr0.5O2 films, with the thickness of just 2.5 nm, which makes them suitable for use in ferroelectric tunnel junctions, thereby further expanding the area of their practical application. Transmission electron microscopy and electron diffraction analysis of the films grown on highly doped Si substrates confirms formation of the fully crystalline non-centrosymmetric orthorhombic phase responsible for ferroelectricity in Hf0.5Zr0.5O2. Piezoresponse force microscopy and pulsed switching testing performed on the deposited top TiN electrodes provide further evidence of the ferroelectric behavior of the Hf0.5Zr0.5O2 films. The electronic band lineup at the top TiN/Hf0.5Zr0.5O2 interface and band bending at the adjacent n+-Si bottom layer attributed to the polarization charges in Hf0.5Zr0.5O2 have been determined using in situ X-ray photoelectron spectroscopy analysis. The obtained results represent a significant step toward the experimental implementation of Si-based ferroelectric tunnel junctions.

Monday, April 4, 2016

Novel Negative Capacitance FET using a 5nm hafnium zirconium dioxide ferroelectric layer

Here is an interesting story in EETimes on how "The Father of FinFET" Prof. Chenming Hu at Berkeley Device Modeling Center is promoting the Negative Capacitance Field efefct Transistor (NC-FET) based on a ferroelectric Hafnium Zirconium oxideintegrated into a standard HKMG Stack. The presenatation was hels as a Key Note "What Else Besides FinFET?" at the SNUG Silicon Valley 2016 · March 30 –31 · Santa Clara Convention Center organized by Synopsys.

http://www.eetimes.com/document.asp?doc_id=1329333&

 The gate stack under investiogation is a conventional thin HfO2 gate oxide with an added 5 nm ferrorelctric ZrO2:HfO2 layer before gate processing, which is a process that could be realized in anz sub 45 nm Fab today assuming you can etch a "thick" high-k gate oxide. The NC-FET development is supported by Intel and TSMC who joined joined, paying $140,000 each.


Chenming Calvin Hu is Distinguished Professor of Microelectronics at University of California, Berkeley. From 2001-2004, he was the Chief Technology Officer of TSMC, world’s largest IC foundry. (Wikipedia.org)

You have followed this Blog may have come across previos stories on the discovery and research on ferroelectric Hf-based metal oxides conducted in Dresden, German by NaMLab, Fraunhofer and Globalfoundries. Below is a small collection of those posts. One of the first materials systems besides Si:HfO2, Al:HfO2 and Y:HfO2 was the ZrO2:HfO2 system investigated by Johannes Müller (Fraunhofer IPMS). If this constallation also hare covering NC-FET, besides the work on FE-FETs and FRAM is not known. In any case, it will be continiously interesting to follow the forgress of ferroelectric ALD HfO2 based materials for novel devices!

Dresden Memory Startup To Debut At Semicon Europa

 FMC has been formed by NaMLab to commercialize the work and has taken over a publicly-funded program that will provide €500,000 (about $565,000) to cover development over the period April 2015 to September 2016. Meanwhile the small group of engineers that have formed the company are looking for early-stage investment and potential partners, Mueller said.

Ferroelectric HfO2 by ALD Key Breakthrough in ITRS “Beyond CMOS” Update 2015

 

Ferroelectric HfO2 Based Materials and Devices: Current Status and Future Prospects

 

Qimonda’s late legacy: 28nm FeRAM using ALD Ferroelectric HfO2

“This research goes back to 2007 at DRAM maker Qimonda, when a PhD candidate Tim Böscke was doing research to improve HfO2 as a high-k dielectric for capacitors in dynamic random access memories, using dopants to stabilize the material”, explained Mikolajiick. “At certain dopant concentrations and under specific treatments, Böscke noticed that strange peaks occurred in the CV characteristic of the material, and that it behaved as a ferroelectric. This was totally unexpected!"

Wednesday, October 28, 2015

Nanocrystalline Ferroelectric BiFeO3 Thin Films by Low-Temperature ALD

Here is a new interesting paper on ferroelectric BFO deposited in an Ultratech Cambridge Nanotech Savannah reactor Institut de Ciencia de Materials de Barcelona (ICMAB-CSIC). The thermal ALD process at 250 °C by alternate pulsing of bismuth tris(2,2,6,6-tetramethyl-3,5-heptanedionate) (Bi(thd)3) and ferrocene (Fe(Cp)2) combined with ozone (O3)

Nanocrystalline Ferroelectric BiFeO3 Thin Films by Low-Temperature ALD





Mariona Coll*, Jaume Gazquez, Ignasi Fina, Zakariya Khayat, Andy Quindeau, Marin Alexe, Maria Varela, Susan Trolier-McKinstry, Xavier Obradors, and Teresa Puig;
Chem. Mater., 2015, 27 (18), pp 6322–6328

DOI: 10.1021/acs.chemmater.5b02093

In this work, ferroelectricity is identified in nanocrystalline BiFeO3 (BFO) thin films prepared by low-temperature atomic layer deposition. A combination of X-ray diffraction, reflection high energy electron diffraction, and scanning transmission electron microscopy analysis indicates that the as-deposited films (250 °C) consist of BFO nanocrystals embedded in an amorphous matrix. Postannealing at 650 °C for 60 min converts the sample to a crystalline film on a SrTiO3substrate. Piezoelectric force microscopy demonstrates the existence of ferroelectricity in both as-deposited and postannealed films. The ferroelectric behavior in the as-deposited stage is attributed to the presence of nanocrystals. Finally, a band gap of 2.7 eV was measured by spectroscopic ellipsometry. This study opens broad possibilities toward ferroelectric oxides on 3D substrates and also for the development of new ferroelectric perovskites prepared at low temperature.
 

Saturday, October 24, 2015

Ferroelectric HfO2 enable giant pyroelectric energy conversion and highly efficient supercapacitors

A new application for energy harvesting and storage of ferroelectric hafnium oxide has been investigated and proven by researchers at NaMLab in Dresden, RWTHA Aachen and TU Munich, Germany. One major advantage of the use of hafnium oxide over other materials is the low cost of fabrication of these films while it has been proven feasible by existing semiconductor process technology like in ALD in CMOS high-k / metal gate and high-k node dielectric for DRAM capacitors.

To summarize this investigation:
  • Ferroelectric phase transitions in Si:HfO2 thin films yield giant pyroelectricity.
  • Si:HfO2 for highly efficient supercapacitors is first reported.
  • Si:HfO2 shows highest figures of merit for pyroelectric energy harvesting.
  • Si:HfO2 for electrocaloric cooling and infrared sensing is first reported.

Ferroelectric phase transitions in nanoscale HfO2 films enable giant pyroelectric energy conversion and highly efficient super capacitors




Temperature- and field-induced phase transitions in ferroelectric nanoscale TiN/Si:HfO2/TiN capacitors with 3.8 to 5.6 mol% Si content are investigated for energy conversion and storage applications. Films with 5.6 mol% Si concentration exhibit an energy storage density of ~40 J/cm3 with a very high efficiency of ~80% over a wide temperature range useful for supercapacitors. Furthermore, giant pyroelectric coefficients of up to −1300 µC/(m2 K) are observed due to temperature dependent ferroelectric to paraelectric phase transitions. The broad transition region is related to the grain size distribution and adjustable by the Si content. This strong pyroelectricity yields electrothermal coupling factors k2 of up to 0.591 which are more than one order of magnitude higher than the best values ever reported. This enables pyroelectric energy harvesting with the highest harvestable energy density ever reported of 20.27 J/cm3 per Olsen cycle. Possible applications in infrared sensing are discussed. Inversely, through the electrocaloric effect an adiabatic temperature change of up to 9.5 K and the highest refrigerant capacity ever reported of 19.6 J/cm3 per cycle is achievable. This might enable energy efficient on-chip electrocaloric cooling devices. Additionally, low cost fabrication of these films is feasible by existing semiconductor process technology.

Thursday, September 17, 2015

Dresden Memory Startup To Debut At Semicon Europa

EETimes reports : A startup company that is working on a ferroelectric non-volatile memory technology based on hafnium oxide is set to make its debut at the Semicon Europa exhibition taking place in Dresden, Germany, October 6 to 8.

The company is in the process of being spun out from the nano- and micro- laboratory (NaMLab) at the Technical University of Dresden. It is currently listed as The Ferroelectric Memory Company (FMC) although CEO Stefan Mueller told EE Times Europe said that the name may change during the formal company creation and registration process.



The company is the product of work at NaMLab on the ferroelectric effect in thin films of silicon-doped hafnium dioxide. That work was, in turn, based on a discovery made in research at now defunct DRAM manufacturer Qimonda in 2007 by Tim Boeske that hafnium dioxide, if prepared in the right way could be made to demonstrate a ferroelectric effect. Hafnium oxide is well known as an insulator material used for high-k metal-gate (HKMG) transistor structures. 
TEM of FeFET processed in 28 nm high-k metal gate CMOS Technology (left) and 2D TCAD-model for device simulation (right). (Picture from NaMLab)

FMC has been formed by NaMLab to commercialize the work and has taken over a publicly-funded program that will provide €500,000 (about $565,000) to cover development over the period April 2015 to September 2016. Meanwhile the small group of engineers that have formed the company are looking for early-stage investment and potential partners, Mueller said.

Wednesday, September 2, 2015

Nanocrystalline Ferroelectric BiFeO3 by Low-Temperature ALD

Joint research from Spain, Germany, UK, and USA present a low temperature process for ferroelectric BeFeO3 which opens possibilities for ferroelectric oxides on 3D substrates. BeFeO3 is a room temperature multiferroic material and due to its ferroelectric photovoltaic (FPV) effect bismuth ferrite have several applications in the field of magnetism, spintronics, photovoltaics etc.

Nanocrystalline Ferroelectric BiFeO3 Thin Films by Low-Temperature Atomic Layer Deposition

Mariona Coll*†, Jaume Gazquez†, Ignasi Fina‡§, Zakariya Khayat∥, Andy Quindeau‡, Marin Alexe§, Maria Varela⊥, Susan Trolier-McKinstry∥, Xavier Obradors†, and Teresa Puig†

† Institut de Ciencia de Materials de Barcelona (ICMAB-CSIC), Campus UAB, 08193, Bellaterra, Catalonia, Spain
‡ Max Planck Institute of Microstructure Physics, Weinberg 2, Halle (Saale), D-06120, Germany
§ Department of Physics, University of Warwick, Coventry CV 4 7AL, United Kingdom
∥ The Pennsylvania State University, N-227 Millennium Science Complex, University Park, Pennsylvania 16802, United States
⊥ Departamento de Física Aplicada III, Instituto Pluridisciplinar, Universidad Complutense de Madrid, 28040, Madrid, Spain

Chem. Mater., Article ASAP
DOI: 10.1021/acs.chemmater.5b02093
Publication Date (Web): August 20, 2015

Abstract

In this work, ferroelectricity is identified in nanocrystalline BiFeO3 (BFO) thin films prepared by low-temperature atomic layer deposition. A combination of X-ray diffraction, reflection high energy electron diffraction, and scanning transmission electron microscopy analysis indicates that the as-deposited films (250 °C) consist of BFO nanocrystals embedded in an amorphous matrix. Postannealing at 650 °C for 60 min converts the sample to a crystalline film on a SrTiO3 substrate. Piezoelectric force microscopy demonstrates the existence of ferroelectricity in both as-deposited and postannealed films. The ferroelectric behavior in the as-deposited stage is attributed to the presence of nanocrystals. Finally, a band gap of 2.7 eV was measured by spectroscopic ellipsometry. This study opens broad possibilities toward ferroelectric oxides on 3D substrates and also for the development of new ferroelectric perovskites prepared at low temperature.

Monday, August 10, 2015

Ferroelectric HfO2 by ALD Key Breakthrough in ITRS “Beyond CMOS” Update 2015

Following the ITRS Summer Meeting, Palo Alto, CA, July 11-12, 2015 Ferroelectric HfO2 by Fraunofer CNT and NaMLab in Dresden Germany is showcased as a "Key Breakthrough" in the ITRS “Beyond CMOS” Update 2015. You can find this presentation in by ITRS Emerging Research Devices (ERD) amongst others in the excellent new ITRS 2.0 website : http://www.itrs2.net/



Monday, July 20, 2015

The Ferroelectric Memory Company - FCM from Dresden

The FeFET is a long-term contender for an ultra-fast, low-power and non-volatile memory technology. In these devices the information is stored as a polarization state of the gate dielectric and can be read non-destructively as a shift of the threshold voltage. The advantage of a FeFET memory compared to the Flash memory is its faster access times, much lower power consumption at high data rates, and the easy integration of the device with common high-k metal gate transistors in complementary metal-oxide-semiconductor (CMOS) technology. 

The basics of the idea of a were already laid in the early 2000s in the research department of the memory manufacturer Qimonda. The discovery of ferroelectricity in doped hafnium led there to the registration of several basic patents, which went to NaMLab gGmbH after the Qimonda insolvency.  

 

In 2013 the proof of principle of a hafnium based ferroelectric field effect transistor (FeFET) memory cell on 28nm technology platform was manufactured at Globalfoundries Fab1 and Fraunhofer CNT in Dresden. There is a hope that the successful commercialization of such an embedded NVM memory technology could have disruptive character.


During the last years the electrical properties of ferroelectric transistors were studied in detail. In the framework of a project together with GLOBALFOUNDRIES and Fraunhofer CNT, which was funded by the Free State of Saxony, silicon doped HfO2 layers were integrated into high-k metal gate transistors in 28 nm CMOS technology. Depending on the polarization state of the ferroelectric gate insulator a memory window in the range of ~1.2 V threshold voltage shift can be reached. Extrapolation of the measured memory window over time indicates a retention time of 10 years with a remaining memory window of 0.4 V. Functional devices with gate length down to ~30 nm were demonstrated.


Today Stefan Müller, Marko Noack and Jörg Andreasas a the team has started The Ferroelectric Memory Company - FCM and currently they are anchored to NaMLab gGmbH and benefits from a The EXIST Research Transfer-financing and support and mentorship by one of the leading semiconductor memory experts Prof. Thomas Mikolajick (Scientific director of NaMLab).

 

TEM of FeFET processed in 28 nm high-k metal gate CMOS Technology (left) and 2D TCAD-model for device simulation (right). (Picture from NaMLab)

 

































Sunday, March 22, 2015

Ferroelectric HfO2 Based Materials and Devices: Current Status and Future Prospects

Ferroelectric Hafnium Oxide Based Materials and Devices: Assessment of Current Status and Future Prospects [OPEN ACCESS]

J. Müller, P. Polakowski, S. Mueller and T. Mikolajick
ECS J. Solid State Sci. Technol. volume 4, issue 5, N30-N35

Abstract

Bound to complex perovskite systems, ferroelectric random access memory (FRAM) suffers from limited CMOS-compatibility and faces severe scaling issues in today's and future technology nodes. Nevertheless, compared to its current-driven non-volatile memory contenders, the field-driven FRAM excels in terms of low voltage operation and power consumption and therewith has managed to claim embedded as well as stand-alone niche markets. However, in order to overcome this restricted field of application, a material innovation is needed. With the ability to engineer ferroelectricity in HfO2, a high-k dielectric well established in memory and logic devices, a new material choice for improved manufacturability and scalability of future 1T and 1T-1C ferroelectric memories has emerged. This paper reviews the recent progress in this emerging field and critically assesses its current and future potential. Suitable memory concepts as well as new applications will be proposed accordingly. Moreover, an empirical description of the ferroelectric stabilization in HfO2 will be given, from which additional dopants as well as alternative stabilization mechanism for this phenomenon can be derived. 

Figure 4.

Comparison of the two major flavors of FRAM. 1T-1C: (a) Working principle illustrating the sensing margin / switched polarization Psw derived from switched charge Qsw and non-switched polarization Pnsw in the P-E-hysteresis. (b) DRAM-like architecture of FRAM adding a plateline to word- and bitline for bipolar ferroelectric switching. (c) TEM-micrograph and related P-E-hysteresis of a FE-HfO2 based deep trench capacitor array proving the concept of 3D-integration capability. To illustrate the advantage of this area enhancement, the polarization density is calculated with respect to the lateral footprint of a comparable planar capacitor. 1T: (d) Illustration of the working principle by a graphical representation of the charge neutrality condition in a MFIS stack. Position 1 and 2 of the insulator-semiconductor loadline represents the transition from the ON-state to the OFF-state of the FeFET or vice versa. Accordingly, the gate voltage difference to turn on/off the FeFET can be approximated by 2 · VC = 2 · Ec · dFE, i.e. the memory window MW. (e) Disturb resilient AND architecture of the FeFET. (f) TEM-micrograph and related ID-VG-hysteresis of a FE-HfO2 based 28 nm high-k metal gate transistors proving the concept of advanced 1T FRAM scalability

The recent success of smartphones and tablet computers has accelerated the R&D of fast and energy efficient non-volatile semiconductor memories, capable of replacing the conventional SRAM-DRAM-Flash memory hierarchy. These so called emerging memories usually leverage on the fact that certain materials possess the capacity for remembering their electric, magnetic or caloric history. For the extensively investigated ferroelectrics this ability to memorize manifests in atomic dipoles switchable in an external electric field. This unique property renders them the perfect electric switch for semiconductor memories. Consequently, only a few years after the realization of a working transistor the first ferroelectric memory concepts were proposed.

However, more than 60 years and several iterations later it is now clear that the success or failure of FRAM is mainly determined by the proper choice and engineering of the ferroelectric material. Perovskite ferroelectrics and related electrode systems underwent an extensive optimization process to meet the requirements of CMOS integration and are now considered the front up solution in FRAM manufacturing. Nevertheless, those perovskite systems require complex integration schemes and pose scaling limitations on 1T and 1T-1C memory cells that until now remain unsolved. This creates an unbalance between memory performance on the one side and manufacturing and R&D costs on the other side. This dilemma has ever since restricted FRAM to niche markets. 

With the recent demonstration of ferroelectricity in HfO2-based systems (FE-HfO2) a CMOS-compatible, highly scalable and manufacturable contender has emerged, that significantly expands the material choice for 1T and 1T-1C ferroelectric memory solutions as well as nanoscale ferroelectric devices. 

In this paper we will review and expand the current understanding of ferroelectricity in HfO2, as well as discuss future prospects of ferroelectric HfO2-based devices with respect to scaling, reliability and manufacturability. Opportunities and drawbacks of this disruptive development in ferroelectric material science will be critically examined. 

Continue reading in the full paper with Open Access here.

Thursday, March 19, 2015

Qimonda’s late legacy: 28nm FeRAM using ALD Ferroelectric HfO2

Qimonda’s late legacy: 28nm FeRAM
By Julien Happich
Electronic Engineering Times Europe January 2015 27
CMOS-COMPATIBLE 28 NM FERAM could become commercially available within three to five years, according to research from a collaborative project between NaMLab at TU Dresden, the Fraunhofer Institute for Photonic Micro Systems (IPMS) and GlobalFoundries. Indeed, smashing all prior research claims on FeRAM and scalable to geometries an order of magnitude smaller than today’s 130nm FeRAM commercial offerings, the results are so promising that they are being included in the current version of the International Technology Roadmap for Semiconductors (ITRS).
A result of a sub-project called ‘Cool Memory’ at Saxonys’ cluster Cool Silicon, the technology relies on newly found ferroelectric effects in doped Hafnium oxide (HfO2). Considering that Hafnium oxide is already commonly used as a high-k gate dielectric in CMOS transistors, the processes are pretty much already in place for its ferroelectric variant, readily scalable with CMOS transistors. So why look at doped Hafnium oxide in the first place? We asked Dr. Thomas Mikolajiick, Professor for Nanoelectronic Materials and Director of the NaMLab, coordinator for Cool Silicon.
“This research goes back to 2007 at DRAM maker Qimonda, when a PhD candidate Tim Böscke was doing research to improve HfO2 as a high-k dielectric for capacitors in dynamic random access memories, using dopants to stabilize the material”, explained Mikolajiick. “At certain dopant concentrations and under specific treatments, Böscke noticed that strange peaks occurred in the CV characteristic of the material, and that it behaved as a ferroelectric. This was totally unexpected!



Full story as a PDF can be downloaded here.

Wednesday, October 29, 2014

Ferroelectricity in Si-doped HfO2 Revealed: A Binary Lead-free Ferroelectricby ALD

Scientists at Namlab gGmbH, Leibniz Institute for Solid State and Materials Research and Fraunhofer IPMS-CNT Dresden, Germany together with Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, TN, USA provides conclusive evidence to intrinsic ferroic behavior in Si-doped HfO2.

 Dominik Martin, Johannes Müller, Tony Schenk, Tomas M. Arruda, Amit Kumar, Evgheni Strelcov, Ekaterina Yurchuk, Stefan Müller, Darius Pohl, Uwe Schröder, Sergei V. Kalinin, and Thomas Mikolajick

Advanced Materials Article first published online: 28 OCT 2014

Static domain structures and polarization dynamics of silicon doped HfO2 are explored. The evolution of ferroelectricity as a function of Si-doping level driving the transition from paraelectricity via ferroelectricity to antiferroelectricity is investigated. Ferroelectric and antiferroelectric properties can be observed locally on the pristine, poled and electroded surfaces, providing conclusive evidence to intrinsic ferroic behavior.


Friday, September 26, 2014

The City College of New York report on ultimate replacement for flash memory

As reported by The City College of New York:  The quest for the ultimate memory device for computing may have just taken an encouraging step forward. Researchers at The City College of New York led by chemist Stephen O’Brien have discovered new complex oxides that exhibit both magnetic and ferroelectric properties.

Combining both properties is very exciting scientifically for the coupling that can occur between them and for the devices that might ultimately be designed, in logic circuits or spintronics. Combining these two properties in a single material, however, has proved difficult until now.

Using an innovative inorganic synthesis technique, an interdisciplinary team led by Dr. O’Brien, associate professor of chemistry at The City College and a member of the CUNY Energy Institute at CCNY, prepared a mineral previously unknown in nature.

X‐ray and electron micrographs of new discovery together with model of the crystal structure (Picture from The City College of New York)
 

“It’s based on common elements: barium, titanium and manganese,” said Professor O’Brien, who’s also an established nanotechnology researcher.

Together with collaborators from Drexel University, Columbia, Brookhaven National Laboratory and China’s South University of Science and Technology, they solved the structure and observed both magnetic and ferroelectric behavior. What they uncovered was a new Hollandite crystal group designated “multiferroic.”

Their finding confirmed a prediction by scientists dating back nearly two decades of the ferroelectric nature of such inorganic substances.

On multiferroics and their possible application, Professor O’Brien said: “The Holy Grail in this field is the combination of both magnetic and ferroelectric elements at room temperature with a sufficient magnitude of interaction.”

This, he added, could lead to the “ultimate replacement for flash memory” or smaller memory devices with massive storage capacities.

This concurs with British physicist J.F. Scott, who is regarded as the "the father of integrated ferroelectrics." A researcher at Cambridge University, Dr. Scott believes that multiferroics might hold the future for the ultimate memory device.

The CCNY findings appear in the Nature online journal “Scientific Reports.”

About The City College of New York
Since 1847, The City College of New York has provided low-cost, high-quality education for New Yorkers in a wide variety of disciplines. More than 16,000 students pursue undergraduate and graduate degrees in: the College of Liberal Arts and Sciences; the Bernard and Anne Spitzer School of Architecture; the School of Education; the Grove School of Engineering; the Sophie Davis School of Biomedical Education, and the Colin Powell School for Civic and Global Leadership. U.S. News, Princeton Review and Forbes all rank City College among the best colleges and universities in the United States.

Saturday, June 7, 2014

WODIM 2014, the 18th Workshop on Dielectrics in Microelectronics, 9-11 June 2014 in Kinsale Cork Ireland.

Coming up next week - The 18th Workshop on Dielectrics in Microelectronics, which takes place from 9-11 June 2014 in Kinsale Co Cork Ireland. This event is hosted by Tyndall National Institute, UCC, Cork, and celebrates the 10th anniversary of the last time the workshop was held in Ireland.
 
 
The main objective of the workshop is to bring together specialists who work in the field of dielectrics and all aspects of their application in the field of micro and nanoelectronics. The forum is intended to provide an overview of the state of the art in this significant field, and to promote a relatively informal atmosphere for the discussion of the latest research results, where contributions from students are particularly encouraged. The workshop deals with a range of issues in the field of advanced and new dielectrics, such as: growth and deposition, modelling and simulation, physical and electrical properties, reliability and dielectric applications.
 
 
 Kinsale, Co Cork, Ireland, in one of the most beautiful coastal towns in Ireland.
 
One of the more interesting talks will be on Tuesday ;-)

09.40 “Fluorine Interface Treatments within the Gate Stack for Defect Passivation in 28nm HKMG Technology”

M. Drescher1, E. Erben2, M. Trentzsch2, C. Grass2, M. Hempel2, A. Naumann1, J. Sundqvist1, J. Schubert3, J. Szillinski3, A. Schäfer3, S. Mantl3

1 Fraunhofer IPMS-CNT, Königsbrückerstraße 180, 01099 Dresden, Germany, 2 Globalfoundries,
Wilschdorfer Landstraße 101, 01109 Dresden, Germany, 3 Forschungszentrum Jülich, Wilhelm-Johnen-Straße, 52428 Jülich, Germany
 

Wednesday, May 28, 2014

RWTH Aachen fabricate ferroelectric hafnium oxide by chemical solution deposition

RWTH Aachen fabricate ferroelectric hafnium oxide with a remnant polarization of >13 μC/cm2 by chemical solution deposition - to be specific - a yttrium-doped hafnium oxide films on platinum electrodes. This work opens up opportunities for applications using a thicker ferroelectric material than for ALD films at about 10nm that has been developed recent years by NaMLab and Fraunhofer IPMS-CNT.



Chemical solution deposition of ferroelectric yttrium-doped hafnium oxide films on platinum electrodes

S. Starschich, D. Griesche, T. Schneller, R. Waser and U. Böttger

Appl. Phys. Lett. 104, 202903 (2014): http://dx.doi.org/10.1063/1.4879283, Published online 21 Mai 2014

Abstract: Ferroelectric hafnium oxide films were fabricated by chemical solution deposition with a remnant polarization of >13 μC/cm2. The samples were prepared with 5.2 mol. % yttrium-doping and the thickness varied from 18 nm to 70 nm. The hafnium oxide layer was integrated into a metal-insulator-metal capacitor using platinum electrodes. Due to the processing procedure, no thickness dependence of the ferroelectric properties was observed. To confirm the ferroelectric nature of the deposited samples, polarization, capacitance, and piezoelectric displacement measurements were performed. However, no evidence of the orthorhombic phase was found which has been proposed to be the non-centrosymmetric, ferroelectric phase in HfO2.

Sunday, May 18, 2014

ITRS 2013 Emerging Research Devices on HfO2 based ferroelectric devices

ITRS 2013 Emerging Research Devices (ERD) Chapter has been updated on ferroelectric devices (page 13) referring to recent development using ferroelectric hafnium oxide.

From Page 12 : Notably, since 2011, ferroelectricity in a variety of doped and polycrystalline HfO2 has been reported. The HfO2 based FeFETs show promising write speed (down to a few ns), retention (projected to 10 years), and endurance (up to 1012), which all match the best performances of its perovskite counterparts (refer to ERD4a). [65,66,67,68,69], and HfO2-based FeFETs have been fabricated using standard high-k metal gate (HKMG) processes. The use of HfO2-based ferroelectrics significantly reduces the physical thickness of the gate stack, and in turn scales down the channel length to the current technology node [70]. Follow the typical HKMG process, SiO2 serves as the buffer layer between HfO2 and Si with a sub-nanometer thickness, yielding low depolarization field.

"In Ferroelectric FET memory, a ferroelectric dielectric forms the gate insulator of an FET. The main concern on FeFET memory lies in operation reliability. Operational reliability of the FeFET RAM is limited by the time dependant remnant polarization of the ferroelectric gate dielectric reflected in retention loss. Control of the ferroelectric-semiconductor interface is critical for FeFET properties. The scalability of FeFET memory beyond the 22nm generation is uncertain"

 
As a comparasion to RRAM, one of the main contenders for emerging memory technologies:

 
"RRAM include multiple device types and mechanisms with varying level of maturity. The survey is based on rating of the general field rather than specific types. Some recent breakthrough in RRAM significantly enhanced perceived potential of this technology, e.g., 32Gb array demonstration726. Overall RRAM assessment is similar or better than existing CMOS-based nonvolatile memories (Flash). A clear advantage of RRAM is scalability owing to the filamentary conduction and switching mechanisms. The simple device structure and fab-friendly materials also contribute to high rating in CMOS compatibility. One of the major concerns of RRAM is the operation reliability due to the stochastic nature and the defect-related mechanisms. Large variation of RRAM switching parameters has been commonly observed and is considered an intrinsic feature of RRAM mechanisms."
 
Refernces on FeFET:

[65] T. S. Boscke, J. Muller, D. Brauhaus, U. Schroder, and U. Bottger, "Ferroelectricity in hafnium oxide: CMOS compatible ferroelectric field effect transistors," IEDM 2011, pp. 24.5.1–24.5.4.
[66] M. Hyuk Park, H. Joon Kim, Y. Jin Kim, W. Lee, H. Kyeom Kim, and C. Seong Hwang, "Effect of forming gas annealing on the ferroelectric properties of Hf0.5Zr0.5O2 thin films with and without Pt electrodes," Appl. Phys. Lett., vol. 102, no. 11, p. 112914, 2013.
[67] J. Muller, et al, "Ferroelectricity in yttrium-doped hafnium oxide," J. Appl. Phys., vol. 110, no. 11, p. 114113, 2011.
[68] J. Muller, et al, "Ferroelectric Zr0.5Hf0.5O2 thin films for nonvolatile memory applications," Appl. Phys. Lett., vol. 99, no. 11, p. 112901, 2011.
[69] S. Mueller, J. Mueller, A. Singh, S. Riedel, J. Sundqvist, U. Schroeder, and T. Mikolajick, "Incipient Ferroelectricity in Al-Doped HfO2 Thin Films," Adv. Funct. Mater., vol. 22, no. 11, pp. 2412–2417, Jun. 2012.
[70] J. Muller, E. Yurchuk, T. Schlosser, J. Paul, R. Hoffmann, S. Muller, D. Martin, S. Slesazeck, P. Polakowski, J. Sundqvist, M. Czernohorsky, K. Seidel, P. Kucher, R. Boschke, M. Trentzsch, K. Gebauer, U. Schroder, and T. Mikolajick, "Ferroelectricity in HfO2 enables nonvolatile data storage in 28 nm HKMG," 2012 Symp. VLSI Tech., pp. 25–26, 2012