Showing posts with label ferroelectric. Show all posts
Showing posts with label ferroelectric. Show all posts

Thursday, November 25, 2021

Watch again - Material development for MRAM and FRAM stacks at Fraunhofer IPMS-CNT

Material development for MRAM and FRAM stacks

Dr. Lukas Gerlich & Konrad Seidel (Fraunhofer IPMS - Center Nanoelectronic Technologies)

Today, data is the lifeblood disrupting many industries. The vast majority of this data is stored in the form of non-volatile magnetic bits in hard disk drives. This technology was developed more than half a century ago and has reached fundamental scaling limits that prevent further increases in storage capacity. New approaches are needed.

In the webinar, FRAM (Ferroelectric Random Access Memory) and MRAM (Magnetoresistive Random Access Memory) will be presented as two promising concepts for future ultra-low power memory technologies. Special attention will be paid to material development and fabrication on state-of-the-art industrial equipment for 300 mm wafers.


Previous Webinar: Fe- FET - A Memory Device for Maximum Integration, Konrad Seidel (IoT Components and Systems) Webinars - Fraunhofer IPMS



Saturday, April 17, 2021

Unraveling the different causes behind ferroelectricity in HfO2

Interplay between oxygen defects and dopants: effect on structure and performance of HfO2-based ferroelectrics

Monica Materano et al
Inorg. Chem. Front., 2021, Advance Article https://doi.org/10.1039/D1QI00167A

Abstract: Ten years after the first report on ferroelectricity in HfO2, researchers are still occupied unraveling the different causes behind this phenomenon. Among them, oxygen related defects seem to play a major role, affecting both crystalline phase formation and performance of HfO2-based devices. This review surveys the available literature and provides a broad picture on the topic, starting with an overview of existing oxygen-related defects, assessing the extensive calculations and experimental reports on phase stabilization in both undoped and doped HfO2 and concluding with a discussion of device reliability involving oxygen vacancies, first in more classical HfO2 applications such as MOSFET high-k metal gate and resistive switching devices and later in the three major groups of ferroelectric non-volatile memory devices.




Tuesday, February 23, 2021

Progress and future prospects of negative capacitance electronics: A materials perspective

NaMLab and TU Dresden, who has performed groundbreaking research on Ferroelectric hafnium oxide are also deep into Negtavie Capacitance devices for electronics to come. They have postulated 5 requirements for prospective ferroelectric materials that NC transistors need to fulfill to be useful for practical devices:

1. Robust ferroelectricity at 5 nm thickness and below
2. Compatibility with CMOS technology
3. Thermal stability on silicon
4. Conformal deposition on 3D substrates
5. Large electronic bandgap and conduction band offset to Si

Looking at the number 4 - ALD will come in handy. Enjoy the reading of their prospect paper below, which is open access.

Progress and future prospects of negative capacitance electronics: A materials perspective

Michael Hoffmann, Stefan Slesazeck, and Thomas Mikolajick

APL Materials 9, 020902 (2021); https://doi.org/10.1063/5.0032954

Negative capacitance in ferroelectric materials has been suggested as a solution to reduce the power dissipation of electronics beyond fundamental limits. The discovery of ferroelectricity and negative capacitance in the widely used class of HfO2-based materials has since sparked large research efforts to utilize these effects in ultra-low power transistors. While significant progress has been made in the basic understanding of ferroelectric negative capacitance in recent years, the development of practical devices has seen limited success so far. Here, we present a unique view of the field of negative capacitance electronics from the ferroelectric materials perspective. Starting from the basic principles of ferroelectric negative capacitance, we discuss the desirable characteristics of a negative capacitance material, concluding that HfO2-based ferroelectrics are currently most promising for applications in electronics. However, we emphasize that material non-idealities can complicate and in some cases even inhibit the design and fabrication of practical negative capacitance devices using HfO2-based ferroelectrics. Finally, we review the recent progress on experimental devices and give an outlook on the future direction of the field. In particular, further investigations of the microscopic structure of HfO2-based ferroelectrics are needed to provide an insight into the origin of negative capacitance in this material system and to enable predictive device design


Historic trend of the supply voltage Vdd and equivalent oxide thickness (EOT) scaling in commercial metal–oxide–semiconductor field-effect transistor (MOSFET) technologies. The black dashed line indicates the EOT limit given by the necessary SiO2 interface between the Si channel and the high-k material, and the red dashed lines indicates the minimum supply voltage due to the Boltzmann limit. HKMG: high-k metal gate. NC: negative capacitance.

Thursday, February 18, 2021

Ferroelectric Field Effect Transistors (FeFETs) Bring Promise And Challenges

It is truly amazing to see the progress of FMC in Dresden and the recent drive in the semiconductor industry for Ferro FETs. Continuously you read about involvement from many of the big names in the industry. Here is a very good overview of the current status written by Bryon Moyer at Semiengineering.

[Article in Semiengineering]: Ferroelectric FETs (FeFETs) and memory (FeRAM) are generating high levels of interest in the research community. Based on a physical mechanism that hasn’t yet been commercially exploited, they join the other interesting new physics ideas that are in various stages of commercialization.

“FeRAM is very promising, but it’s like all promising memory technologies — it takes a while to get beyond promising,” said Rob Aitken, fellow and director of technology on the research team at Arm. “It has the potential to have better benefits than the other new non-volatile memory (NVM) technologies that are on the table today.”

Ferroelectric behaviors are opening up opportunities for non-volatile memory, combined logic/memory functions, and neuromorphic modeling. While it’s still early days for the technology, developers are cautiously optimistic about its future.

Source/Full version: LINK

CEO interview: FMC’s Pourkeramati on roadmaps, turning away investors
https://www.eenewsanalog.com/news/ceo-interview-fmcs-pourkeramati-roadmaps-turning-away-investors



The annealing and zirconium quantity have a strong impact on the crystal arrangement. Source: FMC





Saturday, January 11, 2020

A comparasion of Hafnium and Zirconium ALD precursor comparison

Here is a very nice review paper from Uwe Schröder and co-workers at NaMLab in Dresden on comparing Hafnium and Zirconium ALD precursors published in the past decades and the selection for mixed HfO2 and ZrO2 ALD high-k and ferroelectric applications.

HfxZr1 − xO2 thin films for semiconductor applications: An Hf- and Zr-ALD precursor comparison editors-pick

Journal of Vacuum Science & Technology A 38, 022402 (2020); https://doi.org/10.1116/1.5134135
Monica Materano, Claudia Richter, Thomas Mikolajick, and Uwe Schroeder
In the last few years, hafnium oxide (HfO2), zirconium oxide (ZrO2), and their intermixed system (HfxZr1 − xO2) have aroused more and more interest due to their outstanding properties in the frame of semiconductor applications. Different mixtures of these two sister materials, i.e., different Hf:Zr ratios in HfxZr1 − xO2 layers, as well as different crystal arrangements come with a wide set of structural and electrical properties, making this system extremely versatile. Starting from an amorphous layer, the different crystalline phases are easier to be targeted through subsequent thermal treatment. A correct understanding of the deposition process could help in obtaining films showing the addressed material properties for the selected application. In this paper, a comparison of Hf- and Zr-atomic layer deposition precursors is conducted, with the goal of depositing an almost amorphous HfxZr1 − xO2 layer. Material composition is tuned experimentally in order to address the properties that are relevant for the semiconductor industry. The observed trends are examined, and guidelines for applications are suggested. 

Growth per cycle for the most common HfO2 metal precursors as a function of deposition temperature. Except for the Hf[N(CH3)(C2H5)]4 precursor used in this work, the data have been extracted from other sources. (Reference for HfI4-O2 is wrong, should read ref. 28.)

Sunday, November 3, 2019

An ultrathin integrated nanoelectromechanical transducer based on ALD ferroelectric hafnium zirconium oxide

Nanomechanical resonators fabricated with MEMS technology that can operate in the super high frequency (3–30 GHz) or the extremely high frequency (30–300 GHz) regime could be of use in the development of: 
  • stable frequency references
  • wideband spectral processors
  • high-resolution resonant sensors. 
However, such operation requires the dimensions of the mechanical resonators to be reduced to tens of nanometres, and current devices typically rely on transducers, for which miniaturization and chip-scale integration are challenging. 
 
Recently (LINK), researchers at University of Florida were able to fabricate an ultrathin nanoelectromechanical transducer using 10 nm thin ferroelectric hafnium zirconium oxide (Hf0.5Zr0.5O2) films deposited by ALD on a Veeco CNT Fiji.
 
The figure below summarizes the fabrication process flow for implementation of the 70 nm Si nanomechanical resonators actuated using 10nm Hafnium Zirconium Oxide (Hf0.5Zr0.5O2) film.

MEMS manufacturing flow, as published in the Supporting information (free to download LINK) to Ghatge, M., Walters, G., Nishida, T. et al. An ultrathin integrated nanoelectromechanical transducer based on hafnium zirconium oxide. Nat Electron (2019) doi:10.1038/s41928-019-0305-3.
 
Recommended further reading : An ultrathin nanoelectromechanical transducer made of hafnium zirconium oxide, Tech Explore (LINK)

Saturday, November 17, 2018

Researchers from University of Groningen, the Netherlands confirm ferroelectricity in nanosized HfO2 crystals

Since the finding of ferroelectricity in HfO2 films of sub 10 nm thickness by Tim Böscke*,  (US8304823B2 NaMLab gGmbH) more then 10 years ago many leading R&D teams and semiconductor companies has confirmed the findings. Now also ferroelectricity in nanosized HfO2 crystalsby has been confirmed by the "Hafnia team” within the Nanostructures of Functional Oxides group, Zernike Institute for Advanced Materials, University of Groningen (UG), the Netherlands (LINK). 

* then at the DRAM Company Qimonda


Figure shows inside view of vacuum chamber in which the process of 'pulsed laser deposition' takes place, used to create the hafnium oxide crystals in this study. On the left the glowing substrate on which the film is growing with atomic control; in the center the blue plasma of ions that is created by shooting a laser on a target with the right chemical composition (target visible on the right side of the figure). | Photo Henk Bonder, University of Groningen


Ferroelectric materials have a spontaneous dipole moment which can point up or down. This means that they can be used to store information, just like magnetic bits on a hard disk. The advantage of ferroelectric bits is that they can be written at a low voltage and power. Magnetic bits require large currents to create a magnetic field for switching, and thus more power. However, according to the scientific community, the aligned dipoles in ferroelectric materials are only stable in fairly large groups; thus, shrinking the crystals results into the loss of dipole moment obstructing ferroelectricity based storage devices.

Nevertheless, eight years ago, the first publication by ex-Qimonda experts and researchers from Fraunhofer and RWTH Aachen (Appl. Phys. Lett. 99, 102903 (2011); https://doi.org/10.1063/1.3634052) announced that hafnium oxide thin films were ferroelectric when thinner than ten nanometres and that thicker films actually lost their ferroelectric properties. This triggered many groups across the globe to dig deeper and confirm the claim of researchers from NamLab. Noheda and her group at University of Groningen was also one of them. Since the ferroelectric hafnium oxide samples used in the study carried out at NaMLab were polycrystalline and showed multiple phases, obscuring any clear fundamental understanding of such an unconventional phenomenon, Noheda and her group decided to study these crystals by growing clean (single-phase) films on a substrate.

Using X-ray scattering and high-resolution electron microscopy techniques, the group observed that very thin films (under ten nanometres) grow in an entirely unexpected and previously unknown polar structure, which is necessary for ferroelectricity. Combining these observations with meticulous transport measurements, they confirmed that the material was indeed ferroelectric. Surprisingly, they noticed that the crystal structure changed when the layers exceeded 10 nm, thus reaching the same conclusion as of the Namlab.

In the substrate that UG researchers used, the atoms were a little bit closer than those in hafnium oxide which strained hafnium oxide crystals a little. Moreover, at a very small size, particles have a very large surface energy, creating pressures of up to 5 GPa in the crystal. This altogether forces a different crystal arrangement and in turn polar phase in the HfO2 film.

One contradicting finding of the UG researchers is that the HfO2 crystals do not need a ‘wake-up’ cycle to become ferroelectric. The thin films investigated at NamLab turned ferroelectric only after going through a number of switching cycles (wake-up cycles) needed to align the dipoles in “uncleaned” samples grown via other techniques. In case of the pulsed laser deposition setup and the substrate used at UG, the alignment is already present in the crystals.

Meanwhile, NaMLab has explored ferroelectric properties in atomic layer deposition (ALD) based thin-films of doped HfO2, and has achieved revolutionary results (LINK). A variety of dopant materials (Si, Al, Ge, Y, Gd, La and Sr) with a crystal radius ranging from 50 to 130 pm has been studied in addition to a mixed Hf1-xZrxO2. The aim is to develop a memory concept with the HfO2 based ferroelectric transistors (FeFET) as building blocks. The FeFET is a long-term contender for an ultra-fast, low-power and non-volatile memory technology. In these devices the information is stored as a polarization state of the gate dielectric and can be read non-destructively as a shift of the threshold voltage. The advantage of a FeFET memory compared to the Flash memory is its faster access times and much lower power consumption at high data rates. In the framework of a project together with GLOBALFOUNDRIES and Fraunhofer IPMS, which was funded by the Free State of Saxony, a one-transistor (1T) FeFET eNVM was successfully implemented at NaMLab in a 28 nm gate-first super low power (28SLP) CMOS technology platform using only two additional structural masks (LINK). The electrical baseline properties remain the same for the FeFET integration, demonstrating the feasibility of FeFET as low-cost eNVM.

Guest Blog by: Abhishekkumar Thakur, Fraunhofer IKTS / TU Dresden
Location: Dresden, Germany

LinkedIn: www.linkedin.com/in/abhishekkumar-thakur-16081991


Wednesday, August 15, 2018

NaMLab present advances in ferroelectric HZO layers for low-power electronics

Recent results by NaMLab in Dresden Germanz, show  a strong potential for further aggressive thickness reduction of HZO layers for low-power electronics.
 
Genuinely ferroelectric sub-1-volt-switchable nanodomains in HfxZr(1-x)O2 ultrathin capacitors

Igor Stolichnov, Matteo Cavalieri, Enrico Colla, Tony Schenk, Terence Mittmann, Thomas Mikolajick, Uwe Schroeder, and Adrian M. Ionescu

ACS Appl. Mater. Interfaces, Just Accepted Manuscript
DOI: 10.1021/acsami.8b07988
Publication Date (Web): August 14, 2018





Tuesday, January 2, 2018

Single Atomic Layer Ferroelectric on Silicon by PVD ZrO2


A team of mainly US based researchers from (Yale, MIT, Université de Genève and Globalfoundries) have been able to scale down ferroelectric ZrO2 to only one atomic layer on silicon using PVD. This record breaking thin monolayer ferroelectric allows for more aggressively scaled devices than bulk ferroelectrics as compared to the most current 5–10 nm thick layers based on e.g. Si:HfO2 and HfZrOx. 

They found that:
  • single atomic layer ZrO2 exhibits ferroelectric switching behavior when grown with an atomically abrupt interface on silicon
  • ZrO2 gate stack demonstrate that a reversible polarization of the ZrO2 interface structure couples to the carriers in the silicon.
Single Atomic Layer Ferroelectric on Silicon
Mehmet Dogan, Stéphanie Fernandez-Peña, Lior Kornblum, Yichen Jia, Divine P. Kumah, James W. Reiner, Zoran Krivokapic, Alexie M. Kolpak, Sohrab Ismail-Beigi, Charles H. Ahn, and Frederick J. Walker

Nano Lett., Article ASAP, DOI:10.1021/acs.nanolett.7b03988

Abstract: A single atomic layer of ZrO2 exhibits ferroelectric switching behavior when grown with an atomically abrupt interface on silicon. Hysteresis in capacitance–voltage measurements of a ZrO2 gate stack demonstrate that a reversible polarization of the ZrO2 interface structure couples to the carriers in the silicon. First-principles computations confirm the existence of multiple stable polarization states and the energy shift in the semiconductor electron states that result from switching between these states. This monolayer ferroelectric represents a new class of materials for achieving devices that transcend conventional complementary metal oxide semiconductor (CMOS) technology. Significantly, a single atomic layer ferroelectric allows for more aggressively scaled devices than bulk ferroelectrics, which currently need to be thicker than 5–10 nm to exhibit significant hysteretic behavior (Park, et al. Adv. Mater. 2015, 27, 1811).

Reprinted with permission from (Single Atomic Layer Ferroelectric on Silicon, M. Dogan et al, Nano Letters, Dec 2017). Copyright (2018) American Chemical Society.

High‐resolution STEM image and EDX intensity profiles of Si, Al and Zr. The Supporting Information is available free of charge on the ACS Publications website at "Single Atomic Layer Ferroelectric on Silicon" https://figshare.com/collections/Single_Atomic_Layer_Ferroelectric_on_Silicon/3961401