Showing posts with label Webinar. Show all posts
Showing posts with label Webinar. Show all posts

Saturday, February 17, 2024

SIA Feb. 22 for a webinar on the 2023 semiconductor market and 2024 outlook

SIA Feb. 22 for a webinar on the 2023 semiconductor market and 2024 outlook. Insights from Dan Hutcheson, Dale Ford, Lita Shon-Roy, and Christopher Danely. Discover trends and future projections. Don't miss out!

A Review of the 2023 Semiconductor Market and a Look to 2024


Join us Thursday, Feb. 22 at 2 pm ET for the complimentary SIA Webinar—A Review of the 2023 Semiconductor Market and a Look to 2024.

Semiconductor sales declined by 8.2% in 2023, confirming projections that the industry would experience negative growth. Despite the decrease in annual revenue, the second half of the year saw consistent month-over-month sales growth, signaling the beginning of the new semiconductor business cycle. In addition, the increase in the latter half of the year was driven by sales in end-markets—such as AI, automotive, and industrial—that are expected to propel the industry to $1 trillion by 2030.

Please join a panel of semiconductor market experts to discuss 2023 sales trends and an outlook of the market in 2024. Panelists include Dan Hutcheson, Vice Chair at TechInsights; Dale Ford, Chief Analyst at the Electronics Components Industry Association (ECIA); Lita Shon-Roy, President/CEO and Founder of TECHCET; and Christopher Danely, Managing Director, Citi. The session will be moderated by Robert Casanova, Director of Industry Statistics and Economic Policy at SIA.



We look forward to seeing you on Thursday, Feb. 22 at 2 pm for the complimentary SIA webinar!

Register for the webinar here.

Thursday, February 15, 2024

Webinar - ALD of nitrides - enabling metastable nitrides by plasma ALD

Welcome to the next Applied® Picosun® research community webinar!

Time: Tuesday, 9th of April, 2024 at 13:00 CET
Length: 45 minutes

Although ALD is well known for binary oxides, it is less understood for binary nitrides. Some commonly studied nitride examples are SiNx and TiN that have been extensively investigated while GaN and AlN are less reported since ALD has not been needed for these materials. We have recently shown how plasma ALD seems to be a true enabler for InN, which is metastable both as binary and when combined to form ternaries. Apart from nitrides for optoelectronics, metastable cubic AlTiN has been used to increase the service life of cutting tools. Cubic AlTiN is routinely synthesized using physical vapor deposition techniques operating far from thermal equilibrium. Recently, it has been shown that metastable, cubic AlTiN with high Al content can be deposited close to thermodynamic equilibrium by deposition techniques working at very low pressures. However, very little is understood about the deposition chemistry in these processes, limiting process development to be supported by educated guesses rather than scientific understanding. In the research, a scientific understanding of the deposition chemistry for cubic AlTiN is formed, to facilitate the development of better, more sustainable processes for these coatings.




Speaker: Pamburayi Mpofu, Linköping University, Pedersen Group

Pamburayi Mpofu is a third-year Doctoral Candidate in Materials Chemistry and a member of the Henrik Pedersen Group in the Department of Physics, Chemistry, and Biology (IFM) at Linköping University, Sweden.

Pamburayi holds a Master’s degree in Chemistry from Linköping University. His research interests are in Inorganic Materials Chemistry with a focus on atomic layer deposition (ALD). He is currently working on understanding, on a fundamental atomic level, the surface chemistry governing the deposition of metastable ternary nitrides (in particular AlTiN) thin layers of materials by ALD, for protective hard coating applications.
"I will describe the general problems for doing ALD of nitrides and why ALD seems to be an enabler for metastable nitrides will be described. With focus on my research on AlTiN I will show how I use ALD in developing an understanding of the surface chemistry during the deposition processes. Using in-situ techniques, to study the surface chemistry while navigating the precursor chemistry to generate experimental data that we compare with modeling results to provide an atomic scale perspective of the surface chemistry."


Wednesday, December 20, 2023

Announcement: Webinar on ALD and MLD Techniques for Advanced Functional Materials

Join us for an enlightening webinar on Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD), showcasing their combined prowess in the creation of novel inorganic-organic materials. This event is an excellent opportunity for those interested in advanced material sciences and engineering.


Date and Time: Tuesday, 16th of January, 2024 at 14:00 CET

Duration: 45 minutes

This session will provide a comprehensive overview of ALD and MLD, contrasting them with traditional solution-based methods. We will delve into how these techniques enable the formation of high-quality thin films, crucial for practical applications in areas such as optical data storage and wearable energy harvesting devices.

Key Highlights:

- An introduction to ALD-MLD techniques.

- Exploration of state-of-the-art inorganic-organic thin films, including photoactive ferrimagnetic and thermoelectric hybrid thin films.

- Discussion on technical challenges with organic precursors and solutions for industrial-scale application.



Guest Speaker: Topias Jussila, Doctoral Researcher, Aalto University

Topias Jussila is a promising PhD student at the Department of Chemistry and Materials Science, Aalto University, Finland. With a background in Chemistry and Functional Materials, his current research focuses on the development of novel thin film materials using ALD and MLD, particularly in the realm of iron-based materials.


Don't miss this opportunity to gain insights into the cutting-edge world of thin film materials and their applications. Register today to secure your spot!


For more information and registration, visit Atomic layer deposition (ALD) and molecular layer deposition (MLD) together present an elegant technique for the deposition of novel inorganic-organic materials. (picosun.com)

Saturday, September 9, 2023

Unlock the Future of Materials and Products: Join the MERCK ALD and ALE Innovation Webinar!

Join us for an exciting event on October 18, 2023: "Revolutionizing Materials and Products: Innovations in Atomic Layer Deposition (ALD) and Atomic Layer Etch (ALE)." Presented by Sergei Ivanov, Senior R&D Manager at Merck KGaA, and Martin McBriarty, Senior Scientist, this webinar promises groundbreaking insights into the world of materials and product innovation.

Event Details:

Date: October 18, 2023

Time: 4:00 pm - 5:00 pm CET

Host: Laith Altimime, President of SEMI Europe

Agenda:

- 4:00 pm CET - Welcome remarks by Laith Altimime, President, SEMI Europe.

- 4:05 pm CET - "Revolutionizing Materials and Products: Innovations in Atomic Layer Deposition (ALD) and Atomic Layer Etch (ALE)" by Sergei Ivanov & Martin McBriarty.

- 4:45 pm CET - Q&A session moderated by Laith Altimime, followed by conclusions from all speakers.

About the Speakers:

Sergei Ivanov: Sergei is the Senior R&D Manager in the Organometallics division of Thin Films Business. He leads a research program focused on developing novel precursors for the deposition of metal, metal nitride, and metal oxide films. Sergei holds a PhD in Inorganic Chemistry from Kurnakov Institute of Russian Academy of Sciences and a B.S. in Chemical Engineering from Mendeleev University of Chemical Technology.

Martin McBriarty: Martin is a Senior Scientist leading the development of atomic layer etch and other vapor-phase etch methods. He earned his B.S. in Materials Science & Engineering at the University of Florida and his Ph.D. in the same field at Northwestern University. Martin joined Intermolecular in 2018 after completing postdoctoral research at Pacific Northwest National Laboratory.



Tuesday, September 5, 2023

Revolutionizing Pharmaceutical Packaging and Labware: ALD Technology Enhances Material Properties

Picosun, an Applied Materials company, is proud to announce a breakthrough in enhancing the properties of packaging materials and labware using Atomic Layer Deposition (ALD) technology. ALD offers impermeable barriers and functional surfaces, revolutionizing pharmaceutical packaging and labware for the better.

Date: 12th December Time: 3:00 PM London / 10:00 AM New York

As pharmaceutical packaging evolves to accommodate biological drugs and novel packaging solutions, ALD technology steps in to provide ultra-thin, uniform, and precise material layers with atomic-level thickness and composition. This innovation caters to the demands of primary packaging materials and labware, offering impermeable barriers against leachables and ensuring biocompatibility.

Join us for an insightful webinar to explore how ALD can elevate the performance of packaging materials and labware. Stay ahead in the world of pharmaceutical and medical advancements!

Register now to secure your spot and gain valuable insights. Stay at the forefront of innovation with Picosun and ALD.




Friday, September 1, 2023

Unlocking MEMS Manufacturing Excellence: Dive into ALD's Potential!

Discover the transformative power of Atomic Layer Deposition (ALD) in MEMS manufacturing! Join the SEMI and Forge Nano virtual event on October 11, 8:00 am PT, as we explore ALD's role in enhancing performance and efficiency in micro-fabrication. Delve into dynamic ALD techniques, precision film deposition, and how it fuels high-volume manufacturing. Hear from experts like Matt Wiemer of Forge Nano on tuning film properties and its application in evolving MEMS architectures. Don't miss this opportunity to assess ALD's compatibility with your MEMS processes. 

Register now at the link below and unlock new dimensions in MEMS manufacturing!




Thursday, September 1, 2022

WEBINAR - Production-suitable 200 mm batch ALD/MLD thin film encapsulation toward flexible OLED manufacturing

New Picosun webinar available! Spend insightful 20 minutes watching our latest webinar "Production-suitable 200 mm batch ALD/MLD thin film encapsulation toward flexible OLED manufacturing" 


In this webinar, we present characterization and scale up results of a thin film encapsulation solution, demonstrated by scaling a previously small scale process into a production-proven 200 mm batch ALD system.



Wednesday, January 26, 2022

AVS Seminar Atomic Layer Deposition from an Applications Perspective by Prof. Kessels

 Coming up soon - AVS Seminar Atomic Layer Deposition from an Applications Perspective by Prof. Kessels, TU Eindhoven.

Read about the background at AtomicLimits LINK.

Atomic Layer Deposition from an Applications Perspective

February 9, 2022
1:00 PM - 5:00 PM
Instructor(s): Erwin Kessels
REGISTER HERE!

Questions? Contact Heather Korff, heather@avs.org, 530-896-0477


Cover image - ALD Applications from AtomicLimits.com. Schematic overview of the (emerging) industrial applications of atomic layer deposition (ALD). Roughly speaking three main areas can be distinguished: those related to (opto)electronic integrated circuits (blue); those related to large area electronics and energy technologies (red) and other applications (green) that are often in niche markets. The layout of the figure is inspired by a figure posted on the Strem Chemicals website (by BALD Engineering AB). A high-resolution version of this figure can be found in the AtomicLimits Image Library.


Wednesday, December 1, 2021

Webinar RIE and ALE Processes for Quantum Devices

Optimise the Fabrication Process for Quantum Devices, 2nd December, 4 pm (GMT)

Dr Russ Renzas, Quantum Technology Market Manager

The fabrication of superconducting qubits, quantum photonic elements and diamond-based quantum sensors require highly controlled, stable processes that will not damage the surface and leave no residues. Plasma-based Reactive Ion Etch and Atomic Layer Etch processes are critical for the fabrication of these quantum devices.

In this webinar, Dr Russ Renzas will give an overview of the available plasma etch solutions and how each one of them can be used to overcome some of the processing roadblocks, providing specific examples of how they are applied during the fabrication process

This webinar will give an introduction of:
  • The various etch platforms that are available and their differences
  • How each etch system can be used to overcome some of the processing roadblocks
  • Quantum-specific examples of what can be done and why it should be done during device fabrication process


 

REGISTER NOW

Thursday, November 11, 2021

2021 November Networking - ALD at Aalto University

Date and time: Fri 26.11.2021 starting at 13 Event will be held at Aalto CHEM, Kemistintie 1, Espoo and online in Zoom at https://aalto.zoom.us/j/68826769819 (password to be sent to registered participants via email a few days before the event)


Programme consists of (1) lectures (can be followed in Zoom): 
Brief introduction to ALD, Invited guest talks (Prof. Barry, Prof. van Ommen, Prof. Malygin), update of the Virtual Project on the History of ALD (VPHA); and (2) posters by local ALD research (not in Zoom) ("local" may be broadly interpreted).

Registration DL for participation with posters: Sun 14.11.2021. The number of posters may need to be limited; "first come, first serve".

Registration DL for local in-person participation: Mon 22.11.2021. The number of participants may need to be limited; "first come, first serve".

Registration DL for Zoom participation: Wed 24.11.2021. The number of participants might need to be limited (but likely not); if limiting is needed, "first come, first serve".

Organizing committee: Prof. Riikka Puurunen (chairperson), Dr. Aitor Arandia Gutierrez, Dr. Jorge Velasco, M.Sc. Jihong Yim. All from Aalto University, School of Chemical Engineering, Department of Chemical and Metallurgical Engineering, Catalysis research group.



Friday, May 7, 2021

BALD Engineering & Friends Virtual ALD Fest June 27-30 (tbd), 2021 with Free Beer

BALD Engineering Virtual ALD Fest June 27-30 (tbd), 2021 with Free Beer. A splendid event for everyone attending AVS ALD 2021 or ALE 2021 virtually. The Fest will be streaming live from Dresden Germany and a number of additional locations worldwide - To be announced

Agenda
1. Beer opening ceremony & Tutorial How to open a beer bottle the German way and how to pour a Hefe Weizen
2. ALD Market Briefing with beer
3. Beer drinking competition with several entries (to be announced)



Please vote on Twitter:


Thursday, April 29, 2021

Frontiers in Materials Manufacturing: Materials for Printed Hybrid Electronics

The U.S. Department of Energy’s Argonne National Laboratory scientists are hosting a webinar on May 19 that will explore the challenges and opportunities for materials in printable hybrid electronics.


These devices will transform how we view and use electronics in our daily life. Wearable health devices, sensors, and antennas will be cheaper, more versatile, and draw less power. But challenges remain, especially when creating and designing the right materials.

Angel Yanguas-Gil, Principal Materials Scientist, Argonne National Laboratory will talk about how the combination of novel materials (with and without ALD) and brain-inspired computing can enable smart sensors and edge computing based on printed electronics.

During this webinar, scientists and experts from IDTechEx, NextFlex and other organizations will describe the state-of-the-art for materials in this area. Experts will also explain the scientific advances that will lead to the next generation of printed electronics.

Hear from experts including:
  • Matthew Dyson, Technology Analyst, IDTechEx
  • Eric Forsythe, Program Manager, NextFlex Manufacturing Institute, Army Research Laboratory
  • Stijn Gillissen, Global Head Printed Electronics, Henkel
  • Mark Hersam, Walter P. Murphy Professor of Materials Science and Engineering, Northwestern University
  • Melbs LeMieux, Co-Founder and President, Electroninks
  • Matthew Tirrell, Dean of the Pritzker School of Molecular Engineering and Robert A. Millikan Distinguished Service Professor, University of Chicago; Senior Scientist, Argonne National Laboratory
  • Sihong Wang, Assistant Professor of Molecular Engineering, University of Chicago
  • Angel Yanguas-Gil, Principal Materials Scientist, Argonne National Laboratory


Thursday, April 22, 2021

Highlights of Prof. Erwin Kessels’ Recent Webinar on “Plasma-Assisted Atomic Layer Deposition: From Basics to Applications” Organized by the American Vacuum Society (AVS)

On the 10th of February, 2021, Prof. Erwin Kessels from Eindhoven University of Technology, Netherlands, delivered a 4-hour long webinar, full of highly valuable content, on Plasma-Assisted (Enhanced) Atomic Layer Deposition (PAALD/PEALD). For those who missed this wonderful opportunity to have an insight into one of the most advanced deposition processes in the semiconductor chip production line today, Abhishekkumar Thakur, Associated Technology Analyst with BALD Engineering AB has come up with some interesting highlights and key takeaways from Prof. Kessels' webinar from when we took part:


February 10, Erwin Kessels will present an AVS Webinar: Plasma-Assisted Atomic Layer Deposition: From Basics to Applications. Learn more at https://avs.swoogo.com/webinar_pald

Interesting Highlights and Key Takeaways

1. The current deposition wafer fab equipment market size for ALD has grown as big as or even bigger than that for PVD (Physical Vapor Deposition), but still less than that for CVD (Chemical Vapor Deposition)

2. Semiconductor processing plasmas exist under non-equilibrium conditions, i.e., the electrons present in the plasma are heated by the applied electric field up to a temperature of 50,000 K (~5eV) as opposed to the relatively low gas temperature (300-500 K) due to the low pressures. This is the reason that PEALD becomes useful in the deposition of the high-quality thin-films on thermally fragile substrates/structures, e.g., plastic, foil, photoresist, etc.

3. Electron Energy Distribution Function (EEDF) together with the electron impact cross-section with the events occurring in the plasma (e.g. dissociation, excitation, ionization, etc.) determines the density of a particular species in the plasma (e.g. ions, radicals, etc.)

Ref: https://doi.org/10.1116/6.0000145

4. The thickness of the plasma sheath is typical ~0.1 to 10mm depending on the electron density, the electron temperature, and the potential difference between the plasma and the surface in contact with the plasma (the larger the potential difference is, the thicker the sheath is). The plasma sheath bends along the surface of the macroscopic objects and ions strike every surface of the object orthogonally. However, the plasma sheath can’t follow the surface of the microscopic features (e.g. microscopic trenches in a wafer), thus the ions bombard only the top and the bottom flat surfaces of the features.

5. Plasma source can also be a big source of Oxygen contamination when gets eroded by ion bombardment. It’s especially a big problem in the PEALD of nitrides in ICP systems. In this regard, the use of Alumina as a dielectric window in an ICP system can lead to less sputter yield than that from the use of Quartz as a dielectric window, thereby providing better quality nitride films. This is the reason why many companies have adopted Hollow Cathode Plasma source developed by Meaglow Ltd. in their systems.

6. Vacuum systems themselves can also be a source of Oxygen contamination, especially the ALD reactors because they typically don’t have very low base pressure; usually ~10-6 Torr after utilizing a turbo molecular pump. If the ALD cycle times are relatively long such that the reactive surfaces are exposed to the (“poor”) vacuum for a relatively long time, the Oxygen concentration in the deposited film increases. In other words, the faster the ALD process is, the less contaminated the deposited film is.


An example was given from ALD of HfN from Research of Saurabh Karwal from TU Eindhoven (To be published in 2021) studying the impact of oxygen incorporation by artificially extended cycle times.


7. Strong pumping does not only lead to a better vacuum and in turn less Oxygen contamination in the deposited film, but it also helps reduce the gas residence time inside the reactor minimizing the surface reaction by-products being cracked in the plasma and redepositing on the surface. Thus, a higher pumping speed results in a higher quality film growth.

8. Regarding the conformal growth of an ALD film: When S0*AR2 < 0.1, the film growth is reaction-limited, and extending the precursor/co-reactant dose (beyond the saturation dose) will not make any difference in the film conformality. It’s similar to the growth on a planar substrate. However, when S0*AR2 > 100, the film growth is diffusion-limited, and hence, the precursor/co-reactant dose, that needs to be applied to get a fully conformal growth in the trench, scales with the AR2. In the case of PEALD, the film growth can be recombination limited too, and it becomes even difficult to get a conformal film growth because of the co-reactant dose that needs to be applied to get a fully conformal growth in the trench scales exponentially with the AR. The conformality becomes further difficult to achieve when the surface recombination probability r of the radicals strengthens. Moreover, the conformality of the PEALD film depends heavily on the film material to be deposited because the surface recombination probability of the radicals heavily depends on the material, e.g., SiO2 films can go much deeper into the trench than Al2O3 or HfO2 films since the surface recombination probability of O radicals on SiO2 is much lower than that on Al2O3 or HfO2. The surface recombination probability also depends on the substrate temperature and the process pressure.

[S0 = Sticking probability with zero surface coverage, AR = Aspect Ratio of the trench]

9. PEALD film properties are strongly dependent on ion-energy dose = plasma exposure time*ion flux*mean ion energy. By applying substrate biasing, i.e., by employing mild ion bombardment, mass density, refractive index, crystalline properties, resistivity, void fraction, residual stress, surface roughness, thickness uniformity, chemical composition, topographic selectivity, etc. of the deposited film can be tuned.

10. Plasma can cause radiation damage in the PEALD films because plasma also comprises radiation in the vacuum ultraviolet (VUV) region (i.e. wavelengths below 200nm) generating VUV photons that can have energy up to even 10-40 eV. However, this certainly can’t disqualify plasma processing in the semiconductor industry because there are methods to avoid the adverse impact of VUV photons, e.g., working at higher process pressure as the VUV photons are easily absorbed by the gas.

11. Some of the applications of PEALD in the Logic HVM include cut mask gap-fill, single diffusion break (SDB) gap-fill, contact over active gate (COAG) self-aligned contact (SAC) gap-fill, gate oxide for the IO transistors, solid-state doping, patterning hard-mask, etc. and in the Memory HVM include raising contact pad landing by depositing SiN, slide oxide line, GST X-point (phase-change) memory inner liner, etc. Topographically selective PEALD has been in use in 3D NAND production. The biggest PEALD market at present is that of self-aligned multiple patterning with SiO2 since 22 nm node. It’s important here to note that more than 50% of the PEALD layers are sacrificial and don’t show up in the device! PEALD of SiO2 has also been in the display market, e.g., in a barrier stack against moisture permeation in the curved plastic OLED displays in the Cadillac Escalade 2021. In addition to that, PEALD SiO2 has also found its application in the nanoscale encapsulation of perovskite nanocrystal luminescent films to enhance its stability against water, light, and heat.

Tuesday, March 9, 2021

Tutorial - ALD for energy conversion and storage applications, Prof. Adriana Creatore - Eindhoven University of technology

Atomic Layer Deposition for energy conversion and storage applications by Prof. Adriana Creatore - Eindhoven University of technology. The tutorial was given at Solliance Day 2021 - 28 January 2021 Workshop sessions.



Saturday, February 27, 2021

2021 ISSCC - Plenary Session with Dr. Mark Liu, TSMC Chairman

TSMC recently delivered a plenary session at ISSCC 2021. by Dr. Mark Liu, TSMC Chairman. He gave a vision and path of how semiconductor technologies will continue to innovate over the coming years and decades. Below you can watch the Dr. Liu’s plenary session.

Abstract: The foundry business model, pioneered by TSMC more than three decades ago, brought a sea change to technology innovation and how integrated circuits (ICs) and systems are designed and manufactured. Access to semiconductor technology is no longer limited to large corporations that invest billions of dollars to build a fabrication plant. The foundry model has democratized IC innovation, making it available to all visionaries and innovators.

Today, an open innovation platform that connects innovators with semiconductor-technology providers is a vital link in the global supply chain. Our industry has already begun to look beyond just engineering individual chips manufactured on wafers, and have moved to integrate individual chips into systems. System performance and energy efficiency will continue to advance at historical rates, driven by innovations from many aspects, including materials, device and integration technology, circuit design, architecture, and systems. User applications drives design choices, and design choices are enabled by technology advancements. Advances in an open innovation ecosystem will further lower the entry barriers and unleash the future of innovation.



Sunday, January 31, 2021

AVS Webinar: Plasma-Assisted Atomic Layer Deposition: From Basics to Applications

Atomic Layer Deposition (ALD) has become a key technology in both the lab and the fab with many devices and other applications benefiting from the (ultra)thin films that can be prepared with very precise thickness control and with unparalleled conformality and uniformity. Nowadays, a significant number of the ALD processes employed are so-called plasma-assisted or plasma-enhanced ALD processes. In the last decade, this method has faced a real breakthrough in high-volume manufacturing and an extensive set of processes and reactor designs have been demonstrated. Yet the reasons why and when to use plasma-assisted ALD are often not clear as well what kind of plasma configurations to use and which conditions to apply. Also, misconceptions about the implications of using plasmas during ALD exist, for example with respect to plasma damage and limitations of conformality. This webinar will address these aspects starting with the basics of ALD and plasma-based processing and will range up to the applications that can benefit from plasma-assisted ALD.

Wednesday, February 10, 2021 01.00 pm to 05:00 pm (Eastern Time USA/Canada -5:00 UTC)



Presenter: Erwin Kessels, Professor Dept. of Applied Physics Eindhoven University of Technology Netherlands

Webinar Objectives/Topics 
  • Provide the basic concepts of plasma-based processing and thin-film preparation by (plasma-assisted) ALD 
  • Gain knowledge on the role of reactive and energetic species such as radicals, ions, and photons on the process and resulting film properties, including film conformality on 3D surface topologies 
  • Present an overview of plasma ALD reactors and discuss important design and processes parameters 
  • Discuss several plasma-assisted ALD processes for key material systems 
  • Give insight into existing and potential future applications of plasma-assisted ALD 
  • Understand the pros and cons of plasma-assisted ALD with respect to thermal ALD

Thursday, December 10, 2020

[PALD] SUMMIT Video Library is now available on demand - Enjoy!

The 2nd [PALD] Summit by Forge Nano is now happening. This is following the first very successful event earlier in 200 and Forge Nano is planning yet a 3rd event i summer 2021. More information will come in the near future.

Anyhow, the [PALD] SUMMIT Video Library is now available on demand - Enjoy!

------ [PALD] SUMMIT on Demand LINK ------

Presentation by BALD Engineering during the first [PALD] Summit


Horizontal high temperature rotating graphite drum furnace for ALD and LPCVD on particles and powders BALD Engineering AB: Jonas Sundqvist




Wednesday, November 4, 2020

2020 November Networking - ALD at Aalto University, Finland

When : 25.11.–26.11.2020
Where: The event will be held in Zoom
Registration : Registration period: 21.10.2020 12:00 – 11.11.2020 12:00 

2020 ALD November Networking Event page

Register here! (Without presentation DL 22.11.)

At Aalto University, many research groups' activities have a connection to atomic layer deposition (ALD). Join our public webinar and local networking event on 25.-26.11.2020. Preliminary program in this link. Registration is free but required. 

Aim: Continuing the tradition started in 2019, provide a time and place where especially local people with interest in ALD can meet and get better networked.

Who should come: Researchers (doctoral, postdoctoral, other level) working with a connection to ALD, especially at Aalto University, and also beyond in Finland. Also company representatives welcome. In 2020, invited talks and two tutorials are organized as a Webinar, which is globally open for anyone interested to participate (registration required). 

Format: The event will be organized remotely via Zoom. The program is divided in two parts.

Part 1: Public webinar will comprise of (i) high-level international invited talks (Dr. Jonas Sundqvist, Dr. Tuomo Suntola, Dr. Angel Yanguas-Gil) and (ii) tutorials (Prof. Riikka Puurunen, Prof. Matti Putkonen).

Part 2: Local networking will consist of (iii) brief introduction to groups working on ALD in Finland (feel free to contact the responsible organizer to have your Finland-based group added in this introduction), (iv) posters with optional ~2 min pitch talks by doctoral candidates and others working on ALD in Finland; posters in individual Zoom rooms, and (v) other presentations such as brief local company and project introductions. 

Lecture capture: Presenters have the possibility to have their talk recorded with Zoom and shared through the Panopto system afterwards (live event; successful recording not guaranteed). After the event, the presenters will be asked for permission to share (no sharing/sharing within Aalto University/sharing openly with the link). No sharing is done without permission.  

Organizing committee:  Dr. Aitor Arandia Gutierrez, M.Sc. Milad Madadi, Prof. Riikka Puurunen (responsible organizer), Arja Tuohino-Chance, M.Sc. Emma Verkama, M.Sc. Jihong Yim. All from Aalto University, School of Chemical Engineering.

---
Information of the 2019 event, the first event in the series November Networking - ALD at Aalto University: https://blogs.aalto.fi/catprofopen/ald-networking-nov-2019/