Showing posts with label PEALD. Show all posts
Showing posts with label PEALD. Show all posts

Tuesday, January 23, 2024

Significant Investment in ALD Technology for MicroLED & AR: Oxford Instruments' Pioneering Role

Oxford Instruments, a renowned name in the realm of technology, has recently made a significant contribution to the advancement of MicroLED and Augmented Reality (AR) devices. The company has supplied its state-of-the-art Atomic Layer Deposition (ALD) technology to a leading UK manufacturer. This move is set to revolutionize the way we experience consumer-immersive reality products and display devices.

The Rise of MicroLED and AR

The demand for wearable devices with compact form factors and high-definition displays is skyrocketing. This trend is driving extensive research and development in the industry. MicroLEDs stand out in this arena for their ability to offer incredibly small die pitch sizes (less than 10 µm), enabling the miniaturization of wearable display devices without compromising image resolution. However, as pitch sizes shrink, the challenges in manufacturing increase, particularly regarding the damage caused during mesa formation and isolation steps. This damage can significantly impede device performance.


Oxford Instruments' Breakthrough with Plasma ALD

At the 2023 International Conference on Nitride Semiconductors (ICNS) in Fukuoka, Japan, the spotlight was on Plasma ALD technology, provided by Oxford Instruments. This technology has been hailed for its ability to mitigate damage and substantially boost external quantum efficiency – a critical measure of material performance. The Plasma ALD technology from Oxford Instruments, especially their high-K passivation solution, is optimized for smaller dies, enhancing performance in devices like head-mounted displays for virtual reality and smartwatches.


Oxford Instruments' ASP tool for PEALD offers precise, plasma-enhanced thin-film deposition, ideal for semiconductor, photonics, and microLED applications.

Klaas Wisniewski, Oxford Instruments' Strategic Business Development Director, expressed excitement about their Plasma ALD technology's growing market presence. "Our low-damage Plasma ALD technology, especially on our 200 mm capable platform, has been instrumental in doubling the external quantum efficiency for some of our customers at ICNS," said Wisniewski.

Looking Forward: Photonics West 2024

Oxford Instruments is not resting on its laurels. As a leader in compound semiconductor processing equipment, the company is set to participate in Photonics West 2024 in San Francisco. There, Oxford Instruments will showcase its latest optoelectronics processes for augmented, virtual, and mixed reality, as well as quantum and data transfer applications critical for AI and machine learning. This event will be an excellent opportunity for industry professionals to learn how Oxford Instruments' etch, deposition, and Ion Beam process solutions can enhance their projects, ensuring higher efficiency and lower costs.




In conclusion, Oxford Instruments' investment in ALD technology for MicroLED and AR is a game changer, marking a significant step forward in the evolution of consumer electronics and immersive reality experiences.

Monday, December 19, 2022

Foundations of atomic-level plasma processing in nanoelectronics (Open Access)

Foundations of atomic-level plasma processing in nanoelectronics

Karsten Arts, Satoshi Hamaguchi, Tomoko Ito, Kazuhiro Karahashi, Harm C M Knoops, Adriaan J M Mackus and Wilhelmus M M (Erwin) Kessels
Plasma Sources Sci. Technol. 31 103002 OPEN ACCESS  

This article discusses key elementary surface-reaction processes in state-of-the-art plasma etching and deposition relevant to nanoelectronic device fabrication and presents a concise guide to the forefront of research on plasma-enhanced atomic layer etching (PE-ALE) and plasma-enhanced atomic layer deposition (PE-ALD). As the critical dimensions of semiconductor devices approach the atomic scale, atomic-level precision is required in plasma processing. The development of advanced plasma processes with such accuracy necessitates an in-depth understanding of the surface reaction mechanisms. With this in mind, we first review the basics of reactive ion etching (RIE) and high-aspect-ratio (HAR) etching and we elaborate on the methods of PE-ALE and PE-ALD as surface-controlled processing, as opposed to the conventional flux-controlled processing such as RIE and chemical vapor deposition (CVD). Second, we discuss the surface reaction mechanisms of PE-ALE and PE-ALD and the roles played by incident ions and radicals in their reactions. More specifically, we discuss the role of transport of ions and radicals, including their surface reaction probabilities and ion-energy-dependent threshold effects in processing over HAR features such as deep holes and trenches.


Examples of applications of PE-ALD in patterning, logic device fabrication, and memory device fabrication. The layers prepared by PE-ALD are indicated in (dark) red. In these examples, i.e., self-aligned quadruple patterning (A), gap-filling (B), and dielectric lining (C, left), the film conformality, thickness control, and material quality provided by PE-ALD are essentia

Monday, October 10, 2022

Samco launches new ICP Tornado Plasma ALD system

Samco, a leading manufacturer of etching, deposition and surface treatment processing equipment for the semiconductor and related industries and academic facilities, launches the new Plasma Enhanced Atomic Layer Deposition (PEALD) system, "AD-800LP". The main target of the system is gate oxide film deposition for next-generation power devices of silicon carbide (SiC) and gallium nitride (GaN) materials, which will play important role toward carbon neutral.


Tornado ICP Coil®,  Samco ICP etch systems are equipped with Tornado ICP Coil® which was specially designed for compound semiconductor etching. It is difficult to achieve desired profiles of InP and GaAs due to byproduct redeposition. The Tornado ICP Coil® reduces byproduct redeposition by optimizing etchant species and densities in plasma etching. (Source: Samco)

The plasma enhanced ALD system "AD-800LP" is a multi-purpose R&D system equipped with a unique ICP plasma source called "Tornado ICP", in addition to the conventional thermal ALD capability. AD-800LP enables various film deposition such as oxide or nitride films by Tornado ICP, which is Samco's proprietary plasma technology and is different from remote plasma. Tornado ICP enables stable plasma discharge even in the high-pressure range during ALD deposition.

“We are also considering a cluster ALD system that can connect multiple reaction chambers for production” says Tsukasa Kawabe, President and COO of Samco. “The launch of the AD-800LP will greatly enhance our presence in the world ALD equipment market.” Tsukasa adds.

As a global mid-sized company, Samco has successfully delivered numerous dry etch systems and plasma enhanced CVD systems for the electronic device field, mainly for compound semiconductors such as SiC, GaN, and GaAs, not only in Japan but also in the United States, Europe, Taiwan, Korea, China, Southeast Asia, India, and other countries.

The new Research Center for Nano Thin Films & Materials, which opened in February 2022, conducts research and development of unique thin film deposition, including ALD system. Samco will continue to utilize our "thin-film technology" to develop unique products and expand sales globally.

About Samco Inc.
Samco Inc. (TSE: 6387) stands for Semiconductor And Materials Company, and is a leading manufacturer of processing equipment for the semiconductor and related industries founded by Mr. Osamu Tsuji in Kyoto, Japan in 1979. Over the past forty-three years, more than 4,300 Samco systems have been installed and used in 35 different countries. Its equipment and thin film technology are widely adopted in the fabrication of semiconductor devices, including BAW filters, SiC power devices, GaN RF devices, GaAs VCSELs, InP lasers, microLEDs, MEMS, TSVs, advanced packaging, and so on. Learn more at https://www.samcointl.com/.

Wednesday, December 8, 2021

Meaglow Hollow Cathode Gas Plasma Source Paper Published by the Journal “Coatings”

Meaglow technical staff have published a paper entitled: “Recent Advances in Hollow Cathode Technology for Plasma-Enhanced ALD — Plasma Surface Modifications for Aluminum and Stainless-Steel Cathodes” in the journal “Coatings”. An early version of the paper can be accessed at the journal website, here.

The paper provides a brief review of oxygen contamination from ICP and microwave legacy sources, but also provides details of the operation of the hollow cathode gas plasma sources now widely used by the ALD community.



Friday, November 19, 2021

How Did Plasmas Change ALD? - w/ Erwin Kessels (ALD Stories Ep 8)

In Episode 8 of ALD Stories, Tyler chats with Eindhoven University of Technology professor, Erwin Kessels, about plasma-enhanced ALD, industry applications, and Erwin's Atomic Limits blog & teaching strategies. 

In this video: 
00:00 - Intro
  01:46 - PEALD Basics, Ions, & Applications 
20:56 - Semiconductor Industry Advantages 
28:05 - Photovoltaic Passivation 
36:43 - Atomic Limits Blog & Teaching 
45:25 - Outro 

Professor Erwin Kessels - https://www.tue.nl/en/research/resear... 
Atomic Limits Blog - https://www.atomiclimits.com/



Tuesday, October 5, 2021

The dynamics in CVD and PEALD of InN

Epitaxial nanometer-thin indium nitride (InN) films are considered promising active layers in various device applications but remain challenging to deposit. Pedersen's group at Linköping University, Sweden, has compared the morphological evolution and characterizations of InN films with various growth conditions in CVD by both a plasma ALD approach and a conventional metalorganic CVD approach. 

Their results show that a time-resolved precursor supply is highly beneficial for deposition of smooth and continuous InN nanometer-thin films. The time for purging the reactor between the precursor pulses and low deposition temperature are key factors to achieve homogeneous InN. The gas exchange dynamics of the reactor is further studied using computational fluid dynamics. 


Top-view SEM images of InN grown on SiC by using both ALD and continuous CVD at different deposition temperatures: (a) 320, (b) 400, and (c) 450 °C. In each figure, the result from ALD is shown in the upper right part while the result from continuous CVD is shown in the bottom left part. (Journal of Applied Physics 130, 135302 (2021); https://doi.org/10.1063/5.0061926)

A Picosun R-200 ALD system was employed to grow InN films using trimethyl indium, In(CH3)3 (TMI), and plasma discharged NH3/Ar gas mixture and an Aixtron/Epigress horizontal hot-wall MOCVD reactor was used to grow InN for comparison.


X-ray diffractograms of (a) symmetric 2θ-ω scan of InN films deposited on 4H-SiC (0001) by ALD and continuous CVD. The process temperatures of ALD are indicated, respectively, in the plot. The curves are plotted in the log scale and are shifted vertically for visual clarity. (b) ω scan of InN (0002) and SiC (0004) of samples deposited at different temperatures.

According to their study, 320 °C is found to be the upper temperature where the dynamics of the deposition chemistry can be controlled to involve only surface reactions with surface species. The results highlight the promising role of the ALD technique in realizing electronic devices based on nanometer-thin InN layers.

This study and previous findings in the literature demonstrate the importance of using a time-resolved precursor supply in CVD of InN in which the purge time plays an important role. It should also be noted that the best results in this study are outside the window where the deposition per ALD cycle is constant in temperature. Such observation suggests that a true, self-limiting ALD behavior is not the most critical factor but rather the dynamic precursor supply. Meanwhile, the experimentally optimal purge time and precursor pulse time should vary from reactor to reactor based on their geometry and volume.

Reference: On the dynamics in chemical vapor deposition of InN, Journal of Applied Physics 130, 135302 (2021); https://doi.org/10.1063/5.0061926

Wednesday, September 15, 2021

Problem solved - In0.5Ga0.5N layers by Atomic Layer Deposition!

Pedersen Group at Linköping University, Sweden, present an ALD approach to metastable In1-xGaxN with 0.1 < x < 0.5 based on solid In- and Ga-precursors that were co-sublimed into the deposition chamber in one pulse. A near In0.5Ga0.5N film with a bandgap of 1.94 eV was achieved on Si (100) substrate. Epitaxial In1-xGaxN (0002) was successfully grown directly on 4H-SiC (0001).

In0.5Ga0.5N layers by Atomic Layer Deposition
P. Rouf, J. Palisaitis, B. Bakhit, N. J. O'Brien and H. Pedersen, J. Mater. Chem. C, 2021, DOI: 10.1039/D1TC02408F. (LINK)



a) Cross-sectional STEM-HAADF image of the ~60 nm In1-xGaxN film on 4H-SiC substrate with a zoomed in image of the b) In82Ga18N and c) In18Ga82N layers. d) SAED pattern from the film and substrate. EDX maps of Ga e), In f) and Si g). EELS maps of N h) and C i).

Thursday, July 22, 2021

Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices

Research showing the potential for Plasma Enhanced ALD to scale up superconducting Quantum circuits from Jena and Karlsruhe, Germany using Oxford Instruments Plasma ALD.

Abstract: Superconducting niobium nitride thin films are used for a variety of photon detectors, quantum devices, and superconducting electronics. Most of these applications require highly uniform films, for instance, when moving from single-pixel detectors to arrays with a large active area. Plasma-enhanced atomic layer deposition (ALD) of superconducting niobium nitride is a feasible option to produce high-quality, conformal thin films and has been demonstrated as a film deposition method to fabricate superconducting nanowire single-photon detectors before. Here, we explore the property spread of ALD-NbN across a 6-in. wafer area. Over the equivalent area of a 2-in. wafer, we measure a maximum deviation of 1% in critical temperature and 12% in switching current. Toward larger areas, structural characterizations indicate that changes in the crystal structure seem to be the limiting factor rather than film composition or impurities. The results show that ALD is suited to fabricate NbN thin films as a material for large-area detector arrays and for new detector designs and devices requiring uniform superconducting thin films with precise thickness control.



Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
Journal of Vacuum Science & Technology A 39, 052401 (2021); https://doi.org/10.1116/6.0001126

Thursday, May 20, 2021

Plasway, Fraunhofer IKTS and BALD Engineering to present fast SiO2 PEALD at ALD2021

Get ready for ALD/ALE 2021 and don´t miss new record-breaking fast ALD using 3D printed ceramic de Laval Rocket nozzle technology by Plasway, Fraunhofer IKTS and BALD Engineering.

♦ Realization and Dual Angle In-situ OES Characterization of Saturated 10-100 ms Precursor Pulses in a 300 mm CCP Chamber Employing de Laval Nozzle Ring Injector for Fast ALD

♦ we use two fast scanning, with ≤10 ms acquisition time per spectrum ranging from 200 nm to 800 nm, Optical Emission Spectrometers with a resolution in the range of 0.7 nm.

♦ We present the results for PEALD of SiO2 exhibiting substrate surface saturation for 30 ms of BDEAS pulse and 50 ms of O2

Realization and Dual Angle, In-situ OES Characterization of Saturated 10-100 ms Precursor Pulses in a 300 mm CCP Chamber Employing de Laval Nozzle Ring Injector for Fast ALD

Abhishekkumar Thakur1, Stephan Wege1, Sebastian Bürzele1, Elias Ricken1, Jonas Sundqvist2, Mario Krug3

1Plasway Technologies GmbH, 2BALD Engineering AB, 3Fraunhofer IKTS

ALD-based spacer-defined multiple patterning schemes have been the key processes to continued chip scaling, and they require PEALD or catalytic ALD for low temperature and conformal deposition of spacers (typically SiO2) on photoresist features for the subsequent etch-based pitch splitting. Other SiO2 applications in the logic and the memory segments include gap fill, hard masks, mold oxides, low-k oxides, hermetic encapsulation, gate dielectric, inter-poly dielectric ONO stack, sacrificial oxide, optical films, and many more. ALD is limited by low throughput that can be improved by raising the growth per cycle (GPC), using new ALD precursors, performing batch ALD or fast Spatial ALD, shrinking the ALD cycle length, or omitting purge steps to attain the shortest possible ALD cycle. Today’s latest and highly productive platforms facilitate very fast wafer transport in and out of the ALD chambers. Current 300 mm ALD chambers for high volume manufacturing are mainly top-down or cross-flow single wafer chambers, vertical batch furnaces, or spatial ALD chambers.

We have developed a Fast PEALD technology [1], realizing individual precursor pulses saturating in the sub-100 ms range. The key feature of the technology is the highly uniform, radial injection of the precursors into the process chamber through several de Laval nozzles [2]. To in-situ study (concomitantly from the top and the side of the wafer surface) individual ALD pulses in the 10-100 ms range, we use two fast scanning (≤10 ms acquisition time per spectrum ranging from 200 nm to 800 nm) Optical Emission Spectrometers with a resolution in the range of 0.7 nm.


Saturation curves for SiO2 Fast PEALD

We present the results for PEALD of SiO2 exhibiting substrate surface saturation for 30 ms of BDEAS pulse (Fig. 1) and 50 ms of O2 plasma pulse (Fig. 2). All the processes were carried out in a 300 mm, dual-frequency (2 MHz and 60 MHz) CCP reactor in the temperature range of 20 °C to 120 °C and at ~1 Torr max. pulse pressure. The in-situ, time-resolved OES study of O2 plasma pulse, indicating saturation of  O* (3p5Pà3s5S) emission peak already at 50 ms pulse duration (Fig. 3, 4) and associated extinction of reactive O* within 161 ms (Fig. 5), suggest room for yet faster process. The mean GPC diminishes with the electrostatic chuck temp (Fig. 6).

We will present a more optimized PEALD SiO2 process and stacking of Fast PEALD SiO2 on top of Fast PEALD Al2O3 in the same chamber without breaking the vacuum. The results will comprise XPS, TEM, film growth uniformity across 300 mm wafer, and residual stress investigation for the film stack.    

References:

[1] AVS ALD2020, Abstract Number: 2415, Oral Presentation: AM-TuA14

[2] Patent US20200185198A1


Thursday, April 22, 2021

Highlights of Prof. Erwin Kessels’ Recent Webinar on “Plasma-Assisted Atomic Layer Deposition: From Basics to Applications” Organized by the American Vacuum Society (AVS)

On the 10th of February, 2021, Prof. Erwin Kessels from Eindhoven University of Technology, Netherlands, delivered a 4-hour long webinar, full of highly valuable content, on Plasma-Assisted (Enhanced) Atomic Layer Deposition (PAALD/PEALD). For those who missed this wonderful opportunity to have an insight into one of the most advanced deposition processes in the semiconductor chip production line today, Abhishekkumar Thakur, Associated Technology Analyst with BALD Engineering AB has come up with some interesting highlights and key takeaways from Prof. Kessels' webinar from when we took part:


February 10, Erwin Kessels will present an AVS Webinar: Plasma-Assisted Atomic Layer Deposition: From Basics to Applications. Learn more at https://avs.swoogo.com/webinar_pald

Interesting Highlights and Key Takeaways

1. The current deposition wafer fab equipment market size for ALD has grown as big as or even bigger than that for PVD (Physical Vapor Deposition), but still less than that for CVD (Chemical Vapor Deposition)

2. Semiconductor processing plasmas exist under non-equilibrium conditions, i.e., the electrons present in the plasma are heated by the applied electric field up to a temperature of 50,000 K (~5eV) as opposed to the relatively low gas temperature (300-500 K) due to the low pressures. This is the reason that PEALD becomes useful in the deposition of the high-quality thin-films on thermally fragile substrates/structures, e.g., plastic, foil, photoresist, etc.

3. Electron Energy Distribution Function (EEDF) together with the electron impact cross-section with the events occurring in the plasma (e.g. dissociation, excitation, ionization, etc.) determines the density of a particular species in the plasma (e.g. ions, radicals, etc.)

Ref: https://doi.org/10.1116/6.0000145

4. The thickness of the plasma sheath is typical ~0.1 to 10mm depending on the electron density, the electron temperature, and the potential difference between the plasma and the surface in contact with the plasma (the larger the potential difference is, the thicker the sheath is). The plasma sheath bends along the surface of the macroscopic objects and ions strike every surface of the object orthogonally. However, the plasma sheath can’t follow the surface of the microscopic features (e.g. microscopic trenches in a wafer), thus the ions bombard only the top and the bottom flat surfaces of the features.

5. Plasma source can also be a big source of Oxygen contamination when gets eroded by ion bombardment. It’s especially a big problem in the PEALD of nitrides in ICP systems. In this regard, the use of Alumina as a dielectric window in an ICP system can lead to less sputter yield than that from the use of Quartz as a dielectric window, thereby providing better quality nitride films. This is the reason why many companies have adopted Hollow Cathode Plasma source developed by Meaglow Ltd. in their systems.

6. Vacuum systems themselves can also be a source of Oxygen contamination, especially the ALD reactors because they typically don’t have very low base pressure; usually ~10-6 Torr after utilizing a turbo molecular pump. If the ALD cycle times are relatively long such that the reactive surfaces are exposed to the (“poor”) vacuum for a relatively long time, the Oxygen concentration in the deposited film increases. In other words, the faster the ALD process is, the less contaminated the deposited film is.


An example was given from ALD of HfN from Research of Saurabh Karwal from TU Eindhoven (To be published in 2021) studying the impact of oxygen incorporation by artificially extended cycle times.


7. Strong pumping does not only lead to a better vacuum and in turn less Oxygen contamination in the deposited film, but it also helps reduce the gas residence time inside the reactor minimizing the surface reaction by-products being cracked in the plasma and redepositing on the surface. Thus, a higher pumping speed results in a higher quality film growth.

8. Regarding the conformal growth of an ALD film: When S0*AR2 < 0.1, the film growth is reaction-limited, and extending the precursor/co-reactant dose (beyond the saturation dose) will not make any difference in the film conformality. It’s similar to the growth on a planar substrate. However, when S0*AR2 > 100, the film growth is diffusion-limited, and hence, the precursor/co-reactant dose, that needs to be applied to get a fully conformal growth in the trench, scales with the AR2. In the case of PEALD, the film growth can be recombination limited too, and it becomes even difficult to get a conformal film growth because of the co-reactant dose that needs to be applied to get a fully conformal growth in the trench scales exponentially with the AR. The conformality becomes further difficult to achieve when the surface recombination probability r of the radicals strengthens. Moreover, the conformality of the PEALD film depends heavily on the film material to be deposited because the surface recombination probability of the radicals heavily depends on the material, e.g., SiO2 films can go much deeper into the trench than Al2O3 or HfO2 films since the surface recombination probability of O radicals on SiO2 is much lower than that on Al2O3 or HfO2. The surface recombination probability also depends on the substrate temperature and the process pressure.

[S0 = Sticking probability with zero surface coverage, AR = Aspect Ratio of the trench]

9. PEALD film properties are strongly dependent on ion-energy dose = plasma exposure time*ion flux*mean ion energy. By applying substrate biasing, i.e., by employing mild ion bombardment, mass density, refractive index, crystalline properties, resistivity, void fraction, residual stress, surface roughness, thickness uniformity, chemical composition, topographic selectivity, etc. of the deposited film can be tuned.

10. Plasma can cause radiation damage in the PEALD films because plasma also comprises radiation in the vacuum ultraviolet (VUV) region (i.e. wavelengths below 200nm) generating VUV photons that can have energy up to even 10-40 eV. However, this certainly can’t disqualify plasma processing in the semiconductor industry because there are methods to avoid the adverse impact of VUV photons, e.g., working at higher process pressure as the VUV photons are easily absorbed by the gas.

11. Some of the applications of PEALD in the Logic HVM include cut mask gap-fill, single diffusion break (SDB) gap-fill, contact over active gate (COAG) self-aligned contact (SAC) gap-fill, gate oxide for the IO transistors, solid-state doping, patterning hard-mask, etc. and in the Memory HVM include raising contact pad landing by depositing SiN, slide oxide line, GST X-point (phase-change) memory inner liner, etc. Topographically selective PEALD has been in use in 3D NAND production. The biggest PEALD market at present is that of self-aligned multiple patterning with SiO2 since 22 nm node. It’s important here to note that more than 50% of the PEALD layers are sacrificial and don’t show up in the device! PEALD of SiO2 has also been in the display market, e.g., in a barrier stack against moisture permeation in the curved plastic OLED displays in the Cadillac Escalade 2021. In addition to that, PEALD SiO2 has also found its application in the nanoscale encapsulation of perovskite nanocrystal luminescent films to enhance its stability against water, light, and heat.

Sunday, January 31, 2021

AVS Webinar: Plasma-Assisted Atomic Layer Deposition: From Basics to Applications

Atomic Layer Deposition (ALD) has become a key technology in both the lab and the fab with many devices and other applications benefiting from the (ultra)thin films that can be prepared with very precise thickness control and with unparalleled conformality and uniformity. Nowadays, a significant number of the ALD processes employed are so-called plasma-assisted or plasma-enhanced ALD processes. In the last decade, this method has faced a real breakthrough in high-volume manufacturing and an extensive set of processes and reactor designs have been demonstrated. Yet the reasons why and when to use plasma-assisted ALD are often not clear as well what kind of plasma configurations to use and which conditions to apply. Also, misconceptions about the implications of using plasmas during ALD exist, for example with respect to plasma damage and limitations of conformality. This webinar will address these aspects starting with the basics of ALD and plasma-based processing and will range up to the applications that can benefit from plasma-assisted ALD.

Wednesday, February 10, 2021 01.00 pm to 05:00 pm (Eastern Time USA/Canada -5:00 UTC)



Presenter: Erwin Kessels, Professor Dept. of Applied Physics Eindhoven University of Technology Netherlands

Webinar Objectives/Topics 
  • Provide the basic concepts of plasma-based processing and thin-film preparation by (plasma-assisted) ALD 
  • Gain knowledge on the role of reactive and energetic species such as radicals, ions, and photons on the process and resulting film properties, including film conformality on 3D surface topologies 
  • Present an overview of plasma ALD reactors and discuss important design and processes parameters 
  • Discuss several plasma-assisted ALD processes for key material systems 
  • Give insight into existing and potential future applications of plasma-assisted ALD 
  • Understand the pros and cons of plasma-assisted ALD with respect to thermal ALD

Wednesday, February 12, 2020

50th Hollow Cathode Gas Plasma Source Ordered from Meaglow Ltd

THUNDER BAY, Ontario--(BUSINESS WIRE)--In the semiconductor industry, hollow cathodes are best known for their application as sputter sources, however an increasingly successful range of gas plasma sources have been built by Meaglow Ltd for application in plasma enhanced atomic layer deposition (PE-ALD) and plasma enhanced chemical vapour deposition (PE-CVD). In the past, thin non-oxide films grown by PE-ALD had suffered from severe oxygen contamination problems, a problem that is largely solved with Meaglow’s hollow cathodes, which have the other advantages of high radical flux, low ion damage, and scalability. Meaglow has made several large area sources, in fact, the new order that pushes past the 50th plasma source is for three 4” diameter sources and an 8” diameter source for the OEM Okyay Tech (www.okyaytechald.com/) who will use the sources in some of their upcoming ALD equipment builds. Meaglow has also built 12” diameter sources for other customers.



“We believe Meaglow’s designs represent the first successful, wide spread, application of hollow cathode gas sources for thin film deposition,” says Dr. Scott Butcher, Chief Scientist of Meaglow Ltd. “Past designs struggled with uniform plasma distribution, a problem which Meaglow has overcome.” Dr Butcher’s early exposure to radiofrequency hollow cathode technology, back in the 1990s, was a good fit for solving some of the problems faced by our PE-ALD customers. Now our technology can be found in ten countries, and our customers have published over forty related journal papers, most of which are listed on our website.

About Meaglow Ltd.
Meaglow Ltd. Is a privately owned corporation in the industrial city of Thunder Bay, Canada. It’s range of next generation hollow cathode plasma sources is transforming the way plasma deposition is done. www.meaglow.com

Friday, November 15, 2019

Plasma ALD – A discussion of mechanisms – Commemorating the career of John Coburn

New Blog post by Prof. Kessels: Commemorating the work of John Coburn, how it influenced our work at TU Eindhoven in the field of ALD, and their latest work related to the surface recombination of plasma radicals and its influence on conformality of plasma-based ALD.

AtomicLimits: Plasma ALD – A discussion of mechanisms – Commemorating the career of John Coburn (LINK)


Monday, April 8, 2019

Meaglows New Hollow Cathode Plasma Source Designs Provide Better Quality Films

The University of Connecticut group of Dr. Necmi Biyikli, with others, have recently published a paper (J. Vac. Sci. and Technol. A 37 (2019) 020927) where they were able to achieve good quality, highly stoichiometric AlN using hollow cathode plasma-assisted atomic layer deposition (HCPA-ALD) with film densities near bulk values. Because of the high radical flux from the source, significantly lower RF power was required to achieve this improvement in material quality compared to past experience, and shorter plasma on cycles could be used at these lower powers (20 seconds at 100 watts compared to 40 seconds at 300 watts).

0.125 sec exposure 278 watt 4130 mTorr

Similar improvements in silicon nitride deposition were recently achieved by a team at the University of Texas, Dallas, where excellent quality, highly stoichiometric, high-density PA-ALD grown material was performed using one of our hollow cathode plasma sources (see, for instance, IEEE Electron Device Letters 39 (2018) 1195 ).

IMG_9895

The image shows the University of Connecticut plasma source with ellipsometer ports and sample entry door. The 4″ diameter source was custom made for use with an Okyay Tech ALD system.

Meaglow’s hollow cathode plasma sources are widely used by the ALD Research Community as replacements for inductively coupled plasma (ICP) sources because there is less oxygen contamination when depositing non-oxide materials. However, these recent papers, by the University of Connecticut and the University of Texas, Dallas, illustrate advantages that may be far more important for the industry moving forward. Those being an extremely high radical flux, to the point where the ion signal (ion densities are similar to ICP sources) is swamped by the signal of radicals during optical emission spectroscopy measurements, and relatively low plasma damage (see our company white paper on hollow cathode sources). These result in quicker deposition times with potentially more stoichiometric, better quality material.

Tuesday, September 25, 2018

Caltech Convert to Hollow Cathode for Better Quality Nitride Layers

[Meaglow.com] Researchers at the California Institute of Technology (Caltech) have joined a growing number of institutes that have upgraded their plasma assisted ALD system with a hollow cathode plasma source. Meaglow’s plasma sources are well known for improving nitride layers by lowering oxygen content.

One such upgrade enabled low temperature GaN thin film transistors to be deposited at 200 degrees C (see the related Applied Physics Letter). While more recent work has allowed the demonstration of superior silicon nitride layers grown for device purposes (see our earlier article). 

 
Meaglow is committed to enabling the next generation of materials development by providing deposition solutions that meet the stringent requirements of today’s researchers. See our product lines at www.meaglow.com.

Saturday, September 1, 2018

PEALD - Alumina Systems & Plasway Technologies rewarded "Best Component Award" at CERAMITEC 2018

Alumina Systems GmbH was awarded the "Best Component Award" for its development of a ceramic gas distributor ring. For the first time this year, the prize was awarded by the trade magazine "Ceramic Applications". At the CERAMITEC 2018 trade fair in Munich.


The award-winning component is a ceramic distribution ring for PEALD (Plasma Enhanced Atomic Layer Deposition) processes. The aluminum oxide ring has a diameter of 380 mm and consists of three horizontally divided rings, which are joined with glass solder. In addition, 3-D printed ceramic nozzles made of 99.99% Al2O3 have been soldered in at the same time for internal gas distribution. The ring can supply 2 gases (precursors) at the same time or sequentially into a 300 mm PEALD chamber.



The managing director of Alumina Systems GmbH, Dr. med. Holger Wampers (left) and development partner and customer Stephan Wege, managing director of Plasway Technologies GmbH.

To make it even more complicated, the gas connection for the precursors is made by a titanium tube, which is then soldered to two 3D-printed stainless steel tubes. Both metal solderings are attached via a downstream active soldering. All joining operations are cascaded in the temperature control, i. the process with the highest temperature must first be carried out, further processes must always be lower in temperature so that previous solder joints do not break up again. Besides from the metal parts, the components and joining processes were manufactured or carried out at Alumina Systems in Redwitz. "We have used all our know-how in this component," emphasized Dr. med. Wampers and added: "The horizontally split rings must be in relatively close tolerances for flatness and roundness after sintering, otherwise this can not be corrected with the subsequent grinding process for technological reasons. This is not so easy to achieve due to the shrinkage process of around 20%. Another challenge was the Laval nozzles, which could not have been produced without a 3-D printer. In addition, the entire ring is He leak-tight to 10-8 mbar · L / s "



For more details you can browse the current issue of Ceramic Applications 2/2018 : LINK
As well as Plasway Technologies GmbH (LINK), Fraunhofer IKTS Thin Film Technology Group (LINK) and Alumina Systems news: LINK (German)

Thursday, August 9, 2018

Meaglow Introduces its Hollow Cathode Plasma Sources to Four New Countries

While ALD2018 was going on in Incheon, South Korea, the first Meaglow hollow cathode plasma source in South Korea was being installed at Hanyang University in Ansan.

This is one of a number of firsts for Meaglow this year, we’ve also had our first sale to Israel (to Ben-Gurion University of the Negev), our first sale to Gerrmany (to Otto-von-Guericke University, Magdeburg) and our first sale to the United Kingdom (to the University of Liverpool). All of these sales have been for Meaglow’s popular Series 50 Plasma Source, which is used by many of our customers to upgrade from ICP to hollow cathode plasma operation.

Contact us at info@meaglow.com to learn more about the benefits of Meaglow’s plasma technology.


Friday, August 3, 2018

Entegris White Paper - Entegris Silicon Precursor Toolbox for Low-temperature Deposition

Integris has published a white paper on low temperature silicon precursors:

[Introduction] The drive toward making electronics faster, denser, and cheaper continues unabated. Shrinking device dimensions and changes in structure place additional demands on the materials used in all steps of semiconductor processing, including depositing silicon nitride (SixNy, or SiN) and silicon oxide (SiO2) films. With horizontal dimensions of transistors already near their lower limit, the path forward for Moore’s Law requires building upward. Increasing use of FinFET transistor structures and 3D NAND memory devices is driving the move from planar coatings on horizontal surfaces to conformal coatings on vertical and topologically complex surfaces. Aspect ratios are growing to the point where conformal coating performance is becoming a potential roadblock.

Silicon nitride and oxide films serve two primary types of functions in semiconductor device fabrication. Some are used for patterning, and others are used for electrical insulation. Within these broad categories, each application comes with a slightly different set of challenges. In this white paper, we explain the role of precursors in depositing highquality silicon-containing films under a wide range of challenging conditions.
 
Full source and download : LINK
 
 

Monday, July 30, 2018

Gelest Announced Diiodosilane Commercialization for PEALD Silicon Nitride

MORRISVILLE, Pa., July 27, 2018 — Semiconductor material Gelest Inc. has announced the commercializing of diiodosilane to meet the global demand of the semiconductor industry for next-generation semiconductor chips.

Diidosilane (LINK)

Gelest’s dedicated diiodosilane plant in Morrisville is fully operational and can produce commercial quantities of diiodosilane with purity in excess of 99.9 percent. Diiodosilane is a key chemical precursor used by semiconductor companies worldwide in the development and scale-up of next-generation semiconductor chips that require high-throughput, highly conformal silicon nitride thin films.

Diiodosilane is typically deposited by plasma-enhanced atomic layer deposition to create the silicon nitride thin film. Gelest sees a significant increase in demand for high purity diiodosilane driven by development and production of semiconductor chips requiring silicon nitride thin films at low thermal budgets.

Gelest is a manufacturer of specialty materials for the semiconductor industry.

Source : Photonics LINK

Gelest is sponsoring and exhibiting at the AVS ALD2018 conference currently taking place in South Korea (LINK)  

Friday, June 29, 2018

Tutorial Plasma assisted atomic level processing – PEALD & ALE at PSE2018

Plasma assisted atomic level processing – PEALD & ALE , Sunday, September 16, 2018

The focus will be on atomic level processing technologies, such as Plasma Enhanced Atomic Layer Deposition (PEALD) and Atomic Layer Etching (ALE). The tutorial will provide the basics of the processes, but also insights into the fundamentals of processes, as well as an overview of the processing equipment and applications of these leading edge technologies.

The tutorial will be organized by Adriana Creatore, TU Eindhoven, the Netherlands, in cooperation with Jonas Sundqvist, Fraunhofer IKTS, Dresden, Germany.

9:00 - 9:30

Introduction
Adriana Creatore, Eindhoven University of Technology, the Netherlands
Jonas Sundqvist, Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany
9:30 - 11:00

“Overview of thin film deposition and nanofabrication by atomic layer deposition”
Adrie Mackus, Department of Applied Physics, Eindhoven University of Technology, the Netherlands
11:00 - 11:30 Break
11:30 - 13:00

“Plasma atomic layer deposition: basics, mechanisms and applications”
Harm Knoops, Oxford Instruments Plasma Technology, United Kingdom and Department of Applied Physics, Eindhoven University of Technology, the Netherlands
13:00 - 14:00 Lunch
14:00 - 15:30

“Principles, basics and practical examples of Plasma Atomic Layer Etching”
Sabbir Khan, Department of Physics, Lund University, Sweden
15:30 - 16:00 Break
16:00 - 17:30

“Plasma-ALD and ALE processes in high volume manufacturing and emerging applications”
Jonas Sundqvist, Fraunhofer Institute for Ceramic Technologies and Systems IKTS, Germany
17:30 End of the tutorial