Showing posts with label CVD. Show all posts
Showing posts with label CVD. Show all posts

Tuesday, February 27, 2024

Applied Materials Unveils Cutting-Edge Patterning Technologies for Next-Gen Semiconductor Device Manufacturing

Applied Materials is leading the charge into the angstrom era of chipmaking, unveiling a suite of innovative solutions at the SPIE Advanced Lithography + Patterning conference. The company's focus is on overcoming the challenges posed by extreme ultraviolet (EUV) and high-NA EUV lithography, crucial for the production of chips at 2nm process nodes and below. Their approach integrates new materials engineering, metrology techniques, and pattern-shaping technology to enhance chip performance and yield.


To help overcome patterning challenges for leading-edge chips, Applied Materials offers a portfolio of technologies designed to complement the latest advances in lithography. The company’s newest innovations include the Producer® XP Pioneer® CVD patterning film, the Sym3® Y Magnum™ etch system, the Centura® Sculpta® pattern-shaping system and Aselta contour technology for design-based metrology.

Central to Applied Materials' advancements is the Sculpta® pattern-shaping technology, first introduced at the previous year's conference. Sculpta has seen growing adoption among top logic chipmakers for its ability to refine EUV patterning, notably reducing double patterning steps and mitigating defects such as bridge defects. This technology not only lowers patterning costs but also improves chip yields, showcasing its increasing importance in the semiconductor manufacturing landscape.


Over the next few years, chipmakers will be looking to create “angstrom era” chips that will use EUV and High-NA EUV lithography to pattern their smallest features. An entire ecosystem of capabilities will be required to enable this advanced patterning – including software and design tools, innovations in deposition and etch, advanced metrology and inspection systems, and entirely new approaches such as pattern shaping.

In response to the issue of EUV line edge roughness, Applied Materials has launched the Sym3® Y Magnum™ etch system. This innovative system employs a combination of deposition and etch processes within a single chamber to smooth out rough edges before etching, thereby enhancing yield and chip performance.

Additionally, the company introduced the Producer® XP Pioneer® CVD patterning film, designed for high-fidelity pattern transfer with enhanced resistance to etch chemistries. This film is especially significant for advanced process nodes, offering improved sidewall feature uniformity and co-optimization with both Sculpta and the Sym3 Y Magnum system for superior patterning capabilities.

To address the critical issue of feature alignment across chip layers, Applied Materials has acquired Aselta Nanographics, integrating its design-based metrology with Applied's leading eBeam systems. This integration enables a comprehensive metrology solution that significantly enhances feature placement accuracy, crucial for optimizing chip performance and yield.

Applied Materials' expansion of its patterning solutions portfolio underscores its commitment to advancing semiconductor technology. By addressing key challenges in EUV lithography and introducing groundbreaking technologies, the company is setting new standards for the industry, driving forward the capabilities of angstrom era chipmaking.

Source: Applied Materials Expands Patterning Solutions Portfolio for Angstrom Era Chipmaking | Applied Materials

Monday, October 16, 2023

Kokusai Electric's Successful IPO Raises $724.4 Million, Japan's Largest in 5 Years

Japanese chip equipment manufacturer Kokusai Electric has successfully raised $724.4 million through its initial public offering (IPO) by pricing its shares at the top end of a reduced marketing range. The IPO, Japan's largest in five years, saw Kokusai Electric value its shares at 1,840 yen per share, giving the company an overall valuation of 423.9 billion yen ($2.84 billion). The decision to lower the price range was influenced by the underwhelming performance of chip designer Arm's shares following its recent listing. Kokusai Electric's shares are set to debut on the Tokyo exchange's Prime Market on October 25. The company's major customers include Samsung Electronics, TSMC, and Micron Technology, accounting for over 40% of its revenue.


TSURUGI-C²® is a KOKUSAI ELECTRIC’s new thermal processing platform which is most recently developed for advanced devices especially for the ones with high aspect ratio 3D structures requiring high quality, uniform and conformal film deposition with new innovative reactor design and process techniques.

Kokusai Electric specializes in deposition and treatment process equipment for semiconductor manufacturing. Their deposition equipment is designed for creating nanoscale thin films on semiconductor wafers and supports technologies like LP-CVD, oxidation, annealing (low and high temperature), diffusion, and ALD. Notable products include TSURUGI-C², designed for advanced devices with complex 3D structures, AdvancedAce®-300 for batch thermal processing of 300mm wafers, and VERTRON® Revolution for 200-mm batch thermal processing.

Kokusai Electric's treatment equipment improves film properties through processes like nitridation, oxidation, curing, and annealing. MARORA® is ideal for gate dielectric film formation, utilizing plasma with low electron temperature. TANDUO® offers modular single-wafer treatment for various processes, and AdvancedAce®-300 supports LP-CVD, oxidation, annealing, and diffusion.

These equipment offerings are essential for semiconductor manufacturing, enabling the production of high-quality, high-performance components used in diverse electronic devices.

Sources:

Tuesday, September 19, 2023

Aixtron’s G10-SiC CVD System Supports GlobiTech’s SiC Epitaxy Expansion

  • GlobiTech Inc produces silicon carbide (SiC) and silicon epitaxial wafers, primarily focusing on serving the power and electric vehicle (EV) market segments.
  • GlobiTech Inc's production facilities are located in Sherman, Texas, USA.

Aixtron SE is aiding Texas-based silicon-epitaxy foundry GlobiTech Inc's entry into the silicon carbide (SiC) epitaxy market. The G10-SiC chemical vapor deposition (CVD) system from Aixtron has enabled GlobiTech to rapidly scale SiC epitaxy production in response to growing demand for power epiwafers. Featuring dual wafer sizes (9x150mm and 6x200mm), it offers high throughput per fab space. GlobiTech's expansion confirms the trend of SiC replacing silicon in various applications. Both firms have enjoyed a fruitful partnership, with Aixtron's tools maximizing wafer output. The G10-SiC is projected to be Aixtron's top-selling product in 2023.



Aixtron G10-SiC (Source Aixtron.com)

Source: AIXTRON Pressemeldungen :: AIXTRON

Recent Blog posts on SiC:

BALD Engineering - Born in Finland, Born to ALD: SiC Market Soars Towards $9 Billion: EVs and High-Power Chargers Drive Growth

BALD Engineering - Born in Finland, Born to ALD: SiC Market Soars Towards $9 Billion: EVs and High-Power Chargers Drive Growth

BALD Engineering - Born in Finland, Born to ALD: Samco launches new ICP Tornado Plasma ALD system

BALD Engineering - Born in Finland, Born to ALD: ASM International has completed the acquisition of Italian Silicon Carbide Equipment Manufacturer LPE S.p.A.

BALD Engineering - Born in Finland, Born to ALD: Chemistry paves the way for improved electronic materials - LiU have developed a new molecule that can be used to create high-quality indium nitride

Background:

  • Silicon carbide: from gold rush to commodity?1, which provides an overview of the global SiC market and its predictions for the future of the technology. It discusses the growth rate, size, and drivers of the SiC device market, as well as the competitive landscape and supply chain of the SiC industry. It also analyzes the challenges and opportunities for SiC technology in different applications, such as automotive, industrial, energy, and telecommunications. It also compares and evaluates SiC with other wide bandgap materials, such as gallium nitride (GaN) and diamond.
  • The 2023 global fab landscape: opportunities and obstacles2, which considers the state of the global semiconductor fab market in a post-COVID world. It discusses the emerging business models that could enable the semiconductor industry to migrate to leading-edge and mature technology with optimal manufacturing capacity. It also examines the impact of COVID-19, trade wars, and geopolitical tensions on the semiconductor supply chain and fab investments. It also explores the trends and innovations in semiconductor materials, devices, and modules, such as silicon carbide (SiC), gallium nitride (GaN), and quantum computing.




Wednesday, April 19, 2023

Call for Papers on ALD & ALE Applications, at ECS Fall Meeting / Gothenburg Oct. 2023 ►►DEADLINE EXPIRES APRIL 21◄◄

Call for Papers on ALD & ALE Applications, at ECS Fall Meeting / Gothenburg Oct. 2023 ►►DEADLINE EXPIRES APRIL 21◄◄

The Electrochemical Society (ECS) conference is an international event running every spring and fall, and gathering 2000-4000 participants and 30-40 exhibitors both from academia and industry.

The conference has a strong focus on emerging technology and applications in both electrochemistry and solid-state science & technology.





This fall the event will be held as 244th ECS Meeting on Oct. 8-12, 2023 in Gothenburg (Sweden).

The full program as well as information on travel assistance for students can be found on https://www.electrochem.org/244.

 

The organizers of symposium G01 on “Atomic Layer Deposition & Etching Applications, 19” encourage you to submit your abstracts on the following (and closely related) topics:

 

1.   Semiconductor CMOS applications: development and integration of ALD high-k oxides and metal electrodes with conventional and high-mobility channel materials;

2.   Volatile and non-volatile memory applications: extendibility, Flash, MIM, MIS, RF capacitors, etc.;

3.   Interconnects and contacts: integration of ALD films with Cu and low-k materials;

4.   Fundamentals of ALD processing: reaction mechanisms, in-situ measurement, modeling, theory;

5.   New precursors and delivery systems;

6.   Optical and photonic applications;

7.   Coating of nanoporous materials by ALD;

8.   MLD and hybrid ALD/MLD;

9.   ALD for energy conversion applications such as fuel cells, photovoltaics, etc.;

10. ALD for energy storage applications;

11. Productivity enhancement, scale-up and commercialization of ALD equipment and processes for rigid and flexible substrates, including roll-to-roll deposition;

12. Area-selective ALD;

13. Atomic Layer Etching (‘reverse ALD’) and related topics aiming at self-limited etching, such as atomic layer cleaning, etc.

 

Abstract submission

Meeting abstracts should be submitted not later than the deadline of April 21, 2023 via the ECS website: Abstract submission instruction

 

List of invited speakers

·   Johan Swerts, (Imec, Belgium) KEYNOTEALD challenges and opportunities in the light of future trends in electronics

·   Stephan Wege (Plasway Technology, Germany), Reactor design for combined ALD & ALE

·   Masanobu Honda (TEL, Japan), Novel surface reactions in low-temperature plasma etching

·   Barbara Hughes, (Forge Nano, USA), Dual Coatings, Triple the Benefit; Atomic Armor for Better Battery Performance

·   Juhani Taskinen, (Applied Materials-Picosun, Finland), ALD for biomedicine

·   Alex Kozen (Univ. of Maryland, USA), ALD for improved Lithium Ion Batteries

·   Malachi Noked (Bar-Ilan Univ., Israel), ALD/MLD for batteries

·   Yong Qin (Chinese Academy of Sciences), ALD for catalysis

·   Jan Macák, (Univ. of Pardubice, Czechia), ALD on nanotubular materials and applications

·   Bora Karasulu, Univ. of Warwick, UK), Atomistic Insights into Continuous and Area-Selective ALD Processes: First-principles Simulations of the Underpinning Surface Chemistry

·   Ageeth Bol (Univ. Michigan, USA), ALD on 2D materials

·   Pieter-Jan Wyndaele (KU Leuven-imec, Belgium), Enabling high-quality dielectric passivation on Monolayer WS2 using a sacrificial Graphene Oxide template

·   Elton Graugnard (Boise State Univ., USA), Atomic Layer Processing of MoS2

·   Han-Bo-Ram Lee (Incheon National Univ., Korea), Area-Selective Deposition using Homometallic Precursor Inhibitors

·   Ralf Tonner (Univ. Leipzig, Germany), Ab initio approaches to area-selective deposition

·   Nick Chittock (TU Eindhoven, Netherlands), Utilizing plasmas for isotropic Atomic Layer Etching

·   Heeyeop Chae (Sungkyunkwan Univ., Korea), Plasma-enhanced Atomic Layer Etching for Metals and Dielectric Materials

·   Charles Winter (Wayne State Univ., USA), New Precursors and Processes for the Thermal ALD of Metal Thin Films

·   Anjana Devi, Ruhr Univ. Bochum, Germany), Novel precursors dedicated for Atomic Layer Processing

 

Visa and travel

For more information, see: www.electrochem.org/244/visa-travel/

In addition, Mrs. Francesca Spagnuolo at the ECS (Francesca.Spagnuolo@electrochem.org) can provide you with an official participation letter from the site of the Electrochemical Society.

 

We are looking forward to meeting you in Gothenburg !

Sunday, September 18, 2022

Samsung to focus on treatment of gas used in chip production to achieve net-zero emissions

A major cause of greenhouse gas emissions is process gas used in semiconductor wafer manufacturing comes from processing equipment such as reactive ion etching (RIE) and deposition (CVD and ALD). You can read and watch an interview here and study that paper that was recently published by me and my professor friends Henrik Pedersen and Sean Barry:


Green CVD-Toward a sustainable philosophy for thin film deposition by chemical vapor deposition

It is almost obvious that higher VPs at Samsung and TSMC (LINK) did just that ;-)

[Korea Herald, Link below] Advancing abatement technologies to reduce carbon emissions is the top priority in the Samsung Electronics semiconductor unit's goal to become carbon neutral by 2050, a top official said Friday.

"Treatment of gas used to manufacture semiconductor chips is our biggest focus in our spending (to achieve net-zero emissions)," Song Doo-guen, executive vice president and head of the Environment & Safety Center at Samsung Electronics, told reporters at a briefing in Seoul.


According to the article, Song Doo-guen, executive vice president and head of the Environment & Safety Center at Samsung Electronics, speaks at a briefing in Seoul, Friday and announced that:
  • Samsung has pledged a 7 trillion won ($5 billion) investment to achieve its climate ambitions, and announced that it had recently joined RE100, a coalition comprising 380 global enterprises committed to becoming 100 percent renewable.
  • Alongside the plan to cut direct carbon emissions, Samsung has also laid out a raft of plans to reduce indirect emissions, mainly by pursuing ultralow-power chip products.
  • Other eco-conscious plans it has drawn up include capping the maximum use of freshwater to 300,000 tons a day by 2030 and eradicating gaseous and liquid pollutants by 2040 with treatment technology.
Source: Samsung chip plants look to stamp out carbon footprint (koreaherald.com)

Thursday, September 1, 2022

Supply Tightening Expected for Specialty Electronic Gases

Demand to outpace supply for NF3 and WF6 unless alternatives come into play

San Diego, CA, August 31, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— reports that the supply of Specialty Gases, nitrogen trifluoride (NF3) and tungsten hexafluoride (WF6) for electronics could tighten amongst high projected demand by 2025-2026. This forecasted steep trajectory will challenge supply-chains to keep pace. However, alternatives being developed could interrupt this trend. Both NF3 and WF6 are part of a larger US$5 billion specialty gas segment forecasted to grow 30% over the next 5 years, to total US$6.5 billion by 2026. As shown below, NF3 is expected to grow even more steeply, 72% over the forecast period (as highlighted in TECHCET’s 2022 Critical Materials Report™ on Electronic Gases).


Alternatives for these gases are currently in development which could cause a shift in growth trends. The increasing demand for NF3 in electronic manufacturing, including flat-panel displays, has triggered concern among atmospheric scientists over emissions of nitrogen trifluoride, a potent greenhouse gas. Particularly, NF3 gas has a high Global Warming Potential (GWP) compared to other gases. Consequently, the electronics industry is looking at and considering processes for on-site fluorine generation that can use F2, in place of NF3, for chamber cleaning.

...

To read the full article, click here: https://lnkd.in/g25Fa3f2

For more information on the electronic gases market outlook, check out our newest Gases Critical Materials Report™ here: https://lnkd.in/gb95EBC

Thursday, June 16, 2022

Electronic Gases Markets – To Approach a US$9 Billion Market in 2022

New materials and increasing chip design complexity drives supply-chain problems for Specialty Gases, Rare Gases and Helium

San Diego, CA, June 15, 2022: TECHCET—the electronic materials advisory firm providing business and technology information— reports that the Electronic Gases market revenues hit US$6.3 billion in 2021 and is forecasted to grow to 8% in 2022. In its recently completed Electronic Gases Report , TECHCET forecasts the 2022 Electronic Gases market will reach almost US$6.8 billion—growth primarily attributed to Specialty Gases. As leading-logic and new generations of memory continue to ramp, Specialty Gases consumed in etching, deposition, chamber cleaning, and other applications remain in strong demand. This segment is forecasted to increase by 10% in 2022 with ~9% CAGR through to 2026, as indicated in TECHCET’s newly released 2022 Critical Materials Report™ on Electronic Gases, authored by Jonas Sundqvist, PhD.


In the near-term, there are supply issues for key industry gases, such as helium and neon; and in the longer term, the supply/demand balance for gases such as nitrogen trifluoride (NF3), tungsten hexafluoride (WF6), and others could tighten as industry demand grows.

Neon supply capacity is at risk due to the Russian invasion of Ukraine. Some gas supply from these sources may be at a permanent loss. Helium supply-chain disruptions stemming from lack of Russian supply availability are starting to have an impact on the semiconductor industry. Russia’s current export ban on helium and rare gases will prolong the supply-chain issues with Helium and rare gases like Neon. The war is only part of the helium supply issue – maintenance problems, delayed product availability, and production disruptions in other helium producing regions are all adding to the tightness in the supply chain.

As new semiconductor device fabs come online globally over the next several years, supply constraints may appear for other gases (B2H6, WF6, NF3, CF-gases) since demand increases are expected to outpace supply. With semiconductor manufacturers increasing fab production capacity, the demand for diborane (B2H6) material is rapidly increasing as it is critical in many device applications such as in doped carbon hard masks.

As more CVD/ALD deposition process passes are added for multi-patterning and EUV-lithography, cleaning demand is increasing, thus we anticipate huge growth in NF3 (used for chamber cleaning). With the current projections, demand may outrun supply causing tightness in NF3 availability come 2025-2026. Similarly, TECHCET estimates there may be supply issues with WF6 around 2025-2026. However, the possibility of molybdenum (Mo) replacing tungsten (W) for memory applications could circumvent any WF6 shortage. WF6 demand is primarily driven by vertical scaling in 3DNAND to higher layer counts, though new interconnect materials could lessen any future supply/demand imbalance.

Among the sources of electronic gases highlighted in TECHCET’s CMR are Air Products, Air Liquide, EMD / Merck, Linde, Matheson Gas, SK Materials, Gazprom, Huate Gas, Peric, and many others. For more details on the Electronic Gases market segments and growth trajectory go to: https://techcet.com/product/gases/

ABOUT TECHCET: TECHCET CA LLC is an advisory services firm focused on process materials supply-chains, electronic materials business, and materials market analysis for the semiconductor, display, solar/PV, and LED industries. Since 2000, the company has been responsible for producing the Critical Material Reports™, covering silicon wafers, semiconductor gases, wet chemicals, CMP consumables, Photoresists, and ALD/CVD Precursors. The Critical Materials Council (CMC) of semiconductor fabricators is a business service offered by TECHCET, and includes materials supplier Associate Members. For additional information about reports, market briefings, CMC membership, or custom consulting please contact info@cmcfabs.org, +1-480-332-8336, or go to www.techcet.com.

Saturday, March 5, 2022

Electronic Gas Markets – Strong Growth, Pressing Supply-Chain Issues

San Diego, CA, March 3, 2022: TECHCET—the electronic materials advisory firm — announced a positive growth outlook for Electronic Gases through 2026. The 2022 Electronic Gas market is expected to top US$7 billion, driven mainly by logic chip fab expansions. Despite an expected 8% CAGR, there are pressing supply-chain issues, both nearer term and possibly longer term, exist in this industry segment. The Russia-Ukraine war is sparking growing concerns relating to the availability of gases such as neon, helium and other gases critical to semiconductor production around the globe.


The turmoil in the region, and related economic sanctions against Russia, will likely affect several key gases used by the semiconductor industry. Neon and helium are two examples. TECHCET’s latest analysis shows that majority of all high purity neon imports into the US is coming from the Ukraine. This represents <50% of total US demand.

TECHCET’s contacts in Ukraine indicate that rare gas purifiers in Ukraine have been temporarily shut down due to the conflict. So far there has only been an interruption of 5-6 days. However, if the fighting lingers on this could have a major impact on the chip industry that has been pushing hard to increase production.

Many of the supply-chains that support the industry are running thin on capacity. Investments in more material production has been lacking and demand for materials has been growing so fast that material pinch points exist in nearly every material segment, including gas processing equipment and subsystems. On-going issues on lead-times for all types of components related to gas processing (tool gas box upgrades, precursor deliver systems, bulk refill) are expected to impact lead-times for gas and precursor supply systems

“Even those material supply-chains that were looking fairly balanced in 2020 are increasingly getting pinched and any perturbation in the supply-chain can cause shortage issues,” said Lita Shon-Roy, TECHCET’s President & CEO. Helium is a prime example where hiccups in the supply-chain are causing increased lead-times and availability problem as the chip industry pushes up their demand. The US BLM, the US’s key source of helium, has been experiencing maintenance issues, delaying product availability, and Russia’s Gazprom purified helium production has been delayed (6 months or more) due to fire incidents over the past several months. “Add war into the mix and supply can be even further strained,” offered Shon-Roy.

As new semiconductor device fabs come online in the next few years, supply constraints may appear for other gases (B2H6, WF6, NF3, CF-gases) as demand increases are expected to outpace supply.

For more details on the Electronics Gas market segments and growth trajectory go to:

Don’t forget to register for the 2022 Critical Materials Conference (CMC) on April 28-29 in Chandler, AZ.

Friday, October 29, 2021

Green CVD: How Sustainable is Thin Film Deposition?

Professors Henrik Pedersen, Sean Barry, and Jonas Sundqvist join Tyler to discuss their recent publication in JVSTA about Green CVD. The trio talk about the conception of a new research field which concerns creating more sustainable thin film deposition practices without sacrificing film quality and offers some perspective on a number of areas and strategies addressed in the manuscript. 


Friday, July 23, 2021

Green CVD—Toward a sustainable philosophy for thin film deposition by chemical vapor deposition

Thin films of materials are critical components for most areas of sustainable technologies, making thin film techniques, such as chemical vapor deposition (CVD), instrumental for a sustainable future. It is, therefore, of great importance to critically consider the sustainability aspects of CVD processes themselves used to make thin films for sustainable technologies. Here, we point to several common practices in CVD that are not sustainable. From these, we offer a perspective on several principles for a sustainable, “Green CVD” philosophy, which we hope will spur research on how to make CVD more sustainable without affecting the properties of the deposited film. We hope that these principles can be developed by the research community over time and be used to establish research on how to make CVD more sustainable and that a Green CVD philosophy can develop new research directions for both precursor and reactor design to reduce the precursor and energy consumption in CVD processes.




Electrical energy consumption and greenhouse gas emission in 300 mm logic wafer production for relevant technology nodes in production in 2021 and to be ramped up in the next five years.

We foresee a new research field focused on developing more sustainable CVD processes without impacting the performance of the deposited film negatively. To develop this, we suggest an adaption of a philosophy similar to Green Chemistry,8 a philosophy for all areas of chemistry and chemical engineering to make more sustainable processes and products. Green chemistry focuses on reducing the amount of hazardous materials used and generated, the amount of energy consumed, and designing less harmful molecules. Here, we outline suggestions for such a Green CVD philosophy

A Green CVD philosophy needs to focus on reducing the total energy consumption, reducing molecular consumption by increasing the efficiency in atom usage, and reducing the use of and formation of hazardous molecules. This should be done for the whole process chain of a CVD process—from precursor synthesis to waste gas abatement. A sustainable CVD process must also take an active stand against human rights abuse throughout the whole materials chain, use renewable energy for CVD equipment, and make use of the excess heat produced by CVD equipment. 

Summary of a suggested Green CVD philosophy

From this breakdown of the CVD process, we suggest the following principles to summarize a sustainable Green CVD philosophy:
(1) Use precursors that can be supplied to the process in close to the stoichiometric ratios in the target film to reduce molecular waste.
(2) Use precursors that undergo reactions with lower activation energies to reduce energy consumption and molecular waste.
(3) Use less hazardous precursor molecules to make the CVD process safer.
(4) Use precursors that produce less harmful by-products that are easier to handle.
(5) Minimize waste and energy consumption in the precursor supply chain.
(6) Minimize the thermal budget and vacuum volume of the CVD reactors.
(7) Use the most energy-efficient way to activate the deposition chemistry, including plasma methods.
(8) Recycle unconsumed CVD gases and precursors.
(9) Identify, prevent, address, and account for human rights abuses in the CVD supply chain.
(10) Use renewable energy for the CVD process and harvest excess heat.

Finally, we appreciate that industry is reluctant to change precursors and CVD processes that have been successfully brought into high volume production. As we have already pointed out, the research area of Green CVD should strive to make a given CVD process more sustainable without causing negative effects on the performance of the deposited film. Ideally, Green CVD should not affect the price of the CVD processing step either. It is very reasonable to expect that the demands for more sustainable production will increase and with that a need for more sustainable CVD. As in other research, a strong collaboration between industry and academia will strengthen the Green CVD development effort.
Full article in JVSTA: 

Green CVD—Toward a sustainable philosophy for thin film deposition by chemical vapor deposition
Journal of Vacuum Science & Technology A 39, 051001, (2021); https://doi.org/10.1116/6.0001125  Henrik Pedersen, Seán T. Barry, and Jonas Sundqvist


 

Tuesday, June 1, 2021

South Korean equipment makers recorded mixed results in the first quarter of 2021

출처 : THE ELEC, Korea Electronics Industry Media(http://thelec.net) - South Korean equipment makers recorded mixed results in the first quarter of 2021.

  • Fab equipment vendors posted high growth, while display equipment firms underperformed.
  • Fab equipment makers benefited from aggressive spending by semiconductor companies.
  • CVD/ALD equipment companies showed good growth, see below (Jusung, Wonik IPD, Eugene Technologies

Semes, Samsung Electronics’ fab equipment subsidiary, recorded 870.6 billion won in sales, an increase of 62.3% from a year prior. It recorded 112.8 billion won in operating income, an increase of 40.5% over the same time period. The growth likely stems from Samsung starting to put in equipment to its P2 chip line at its Pyeontaek plant during the quarter. Overheat transport accounted for 60% of the sales recorded by Semes during the quarter.

SFA recorded 355.6 billion won in sales and 42.3 billion won in operating income, a drop of 3.3% and 1.6%, respectively, a year prior. Non-display business accounted for 65.1% of its sales. SFA, which previously focused on display kits, managed to record level earnings to a year prior thanks to other business areas.


Wonik IPS recorded 254.5 billion won in revenue and 24.2 billion won in operating income, a surge of 39.9% and 68.1%, respectively, from a year prior. The firm previously focused on fab equipment for use in memory chip production. But it has begun supplying kits for foundry beginning last year, which helped growth.

Eugene Technology recorded 100.7 billion won in revenue and 30.7 billion won in operating income. The company recorded an operating margin rate of 30.5%. Its LPCVD equipment supplied to SK Hynix for the latter’s M16 DRAM fab led the growth.

Jusung Engineering posted 75.3 billion won in sales in the quarter, double that of the year prior. It turned a profit from a year prior and posted 16 billion won in operating income. The company won the order for atomic layer deposition kits from SK Hynix for use in next-generation DRAMs. Jusung is the sole supplier of the kits.

Hanmi Semiconductor recorded 70.9 billion won in sales, a jump of 79% from a year prior. Its operating income increased 160% year-on-year to 19.3 billion won. It won 22 orders during the quarter. It has signed supply deals with SK Hynix, Amkor Technology Korea, ASE, NXP, Nanya, SPIL and others for a combined worth of 87 billion won.

YIK recorded 67.5 billion won in sales and 9.7 billion won in operating income, a jump of 99.7% and 177.1%, respectively, from a year prior. The firm mainly provides electrical die sorting equipment. The firm is seeing more orders from Samsung, having signed a 155.3 billion won deal with the tech giant in the first quarter alone.

South Korean fab equipment makers are expected to post solid growth throughout 2021 from increased spending this year by Samsung and SK Hynix. SK Hynix had said in the conference call for the first quarter that it plans to execute some of its spending it planned for 2022 earlier to this year.

SEMI is expecting global fab equipment spending to increase 15.5% this year to US$70 billion. Meanwhile, South Korean display equipment makers underperformed during the first quarter.

Samsung Display and LG Display have been conservative with their spending due to uncertainties surrounding the display market. But increased spending in OLED from Chinese panel makers such as BOE and Tianma staved off a huge dip in profitability.

Only few companies recorded growth, such as AP Systems, which saw sales drop 6.9% year-on-year but operating income surge 53.2% over the same time period. The company benefited from laser annealing equipment supplied to BOE for the B12 line.

Youngwoo DSP saw a surge in its operating income from supplies to its Chinese customers. KC Tech saw sales jump 21.1% but operating income remained flat. Top Engineering saw 9.6 billion won in operating loss from the 6.1 billion won operating loss posted by subsidiary Powerlogics. Dong A Eltek recorded 2.3 billion won in operating loss, though sales doubled. The firm said increased cost from the pandemic stunted growth.

Charm Engineering continued to record loss. HB Technology, Toptec and Philoptics all turned to the red. 

Local display equipment makers are expected to see a turnaround starting in the fourth quarter when Samsung Display and LG Display decide on new spending plans around the same time.


Friday, May 7, 2021

Applied Materials Introduces Materials Engineering Solutions for DRAM Scaling

  • New Draco™ hard mask material co-optimized with Sym3® Y etcher to accelerate DRAM capacitor scaling
  • DRAM makers adopting Black Diamond®, the low-k dielectric material pioneered by Applied Materials to overcome interconnect scaling challenges in logic
  • High-k metal gate transistors now being introduced in advanced DRAM designs to boost performance and reduce power while shrinking the periphery logic to improve area and cost
SANTA CLARA, Calif., May 05, 2021 (GLOBE NEWSWIRE) -- Applied Materials, Inc. today announced materials engineering solutions that give its memory customers three new ways to further scale DRAM and accelerate improvements in chip performance, power, area, cost and time to market (PPACt).
The Draco hard mask resolves this issue with a new material whose selectivity is more than 30 percent higher than conventional DRAM capacitor hard masks. It enables the deposition of a 30 percent thinner hard mask, thus decreasing the capacitor’s aspect ratio and easing the difficulty of the etch process.

The digital transformation of the global economy is generating record demand for DRAM. The Internet of Things is creating hundreds of billions of new computing devices at the edge which are driving an exponential increase in data transmitted to the cloud for processing. The industry urgently needs breakthroughs that can allow DRAM to scale to reduce area and cost while also operating at higher speeds and using less power.
Applied Materials is working with DRAM customers to commercialize three materials engineering solutions that create new ways to shrink as well as improve performance and power. The solutions target three areas of DRAM chips: storage capacitors, interconnect wiring and logic transistors. They are now ramping into high volume and are expected to significantly increase Applied’s DRAM revenue over the next several years.

Introducing Draco™ Hard Mask for Capacitor Scaling

Since over 55 percent of a DRAM chip’s die area is occupied by the memory arrays, increasing the density of these cells is the biggest lever for reducing cost per bit. Data is stored as charges in cylindrical, vertically arranged capacitors that need as much surface area as possible to hold adequate numbers of electrons. As DRAM makers narrow the capacitors, they also elongate them to maximize surface area. A new technology challenge to DRAM scaling has emerged: the etching of the deep capacitor holes threatens to exceed the limits of the “hard mask” material that acts as a stencil to determine where each cylinder is placed. If the hard mask is etched through, the pattern is ruined. Taller hard masks are not viable because as the combined depth of the hard masks and capacitor holes exceeds certain limits, etch byproducts remain and cause bending, twisting and uneven depths.


Applied Producer® XP Precision® Draco™ CVD

The solution is Draco™, a new hard mask material that has been co-optimized to work with Applied’s Sym3® Y etch system in a process monitored by Applied’s PROVision® eBeam metrology and inspection system that can take nearly half a million measurements per hour. The Draco hard mask increases etch selectivity by more than 30 percent which enables a shorter mask. Draco hard mask and Sym3 Y co-optimization includes advanced RF pulsing which synchronizes etching with byproduct removal to enable patterning holes that are perfectly cylindrical, straight and uniform. The PROVision eBeam system gives customers massive, immediate actionable data on hard mask critical dimension uniformity which is the key to capacitor uniformity. Applied’s solution provides customers with a 50-percent improvement in local critical dimension uniformity and reduces bridge defects by 100X, thus increasing yields.


Implementation of Draco for DRAM capacitors. (Applied Materials Master Memory Class May the 5th 2021 LINK)

“The best way to quickly solve materials engineering challenges with our customers is to co-optimize adjacent steps and use massive measurements and AI to optimize process variables,” said Dr. Raman Achutharaman, group vice president, Semiconductor Products Group at Applied Materials.



Bringing Black Diamond® Low-k Dielectric to the DRAM Market

A second key lever of DRAM scaling is reducing the die area needed by the interconnect wiring that routes signals to and from the memory arrays. Each of the metal lines is surrounded by an insulating dielectric material to prevent interference between data signals. For the past 25 years, DRAM makers have used one of two silicon oxides – silane and tetraethoxysilane (TEOS) – as the dielectric material. Continual thinning of the dielectric layers has reduced DRAM die sizes but created a new technology challenge: the dielectrics are now too thin to prevent capacitive coupling in the metal lines whereby signals interfere with one another causing higher power consumption, slower performance, increased heat and reliability risks.

The solution is Black Diamond®, a low-k dielectric material first used in advanced logic. With DRAM designs now experiencing similar scaling challenges, Applied is adapting Black Diamond to the DRAM market and making it available on the highly productive Producer® GT platform. Black Diamond for DRAM enables smaller, more compact interconnect wires that can move signals through the chips at multi-gigahertz speeds without interference and at lower power consumption.

High-k Metal Gate Transistors Bring PPAC Improvements to DRAM

A third key lever of DRAM scaling is improving the performance, power, area and cost of the transistors used in the periphery logic of the chip to help drive the input-output (I/O) operations needed in high-performance DRAM like those based on the new DDR5 specification.

Until today, DRAM used transistors based on polysilicon-oxide which were phased out in foundry-logic by the 28-nanometer node because extreme thinning of the gate dielectric allowed electrons to leak, thereby wasting power and limiting performance. Logic makers adopted high-k metal gate (HKMG) transistors, replacing the polysilicon with a metal gate and the dielectric with hafnium oxide, a material that improves gate capacitance, leakage and performance. Now memory makers are designing HKMG transistors into advanced DRAM designs to improve performance, power, area and cost. In DRAM as in logic, HKMG will increasingly replace polysilicon transistors over time.

This technology inflection in DRAM creates growth opportunities for Applied Materials. The more complex and delicate HKMG materials stack is challenging to manufacture, and in-vacuum processing of adjacent steps using Applied’s Endura® Avenir™ RFPVD system has become the industry’s preferred solution. HKMG transistors also benefit from Applied’s epitaxial deposition technologies such as Centura® RP Epi along with film treatments including RadOx™ RTP, Radiance® RTP and DPN which are used to fine-tune the transistor characteristics for optimum performance.

“Draco hard mask and Black Diamond low-k dielectric are being adopted by leading DRAM customers, and the first HKMG DRAMs are now being introduced,” added Dr. Achutharaman. “Applied Materials projects billions of dollars in revenue growth as these DRAM inflections play out over the next several years.”

Additional information about the growth outlook for these technologies is being provided at Applied’s 2021 Memory Master Class being held later today. For more information, please visit the investor page of our website at https://ir.appliedmaterials.com.

Wednesday, May 5, 2021

EMD Electronics Creates Center of Excellence for Atomic Engineering by Combining Thin Films R&D Lab with Intermolecular

  • Unique capabilities with novel organo-metallic precursors and applications will enable customers to explore and test advanced materials for next-generation devices
  • Centralized innovation hub to speed up the delivery of material solutions to customers
San Jose, Calif., May 04, 2021 – EMD Electronics, a business of Merck KGaA, Darmstadt, Germany, today announced the creation of a Center of Excellence for atomic engineering at Intermolecular’s San Jose facility. The capabilities of EMD Electronic’s Thin-Films Applications R&D lab have been merged with Intermolecular’s advanced electronics capabilities to create a centralized innovation hub for our customers. This will enable seamless integration of testing and deposition of new materials for next-generation devices.


EMD Electronics "We've established a Center of Excellence for Atomic Engineering! Our  Thin Films Applications R&D lab has merged with Intermolecular's advanced electronics capabilities to create a centralized innovation hub for our customers. This will enable seamless integration of testing and deposition of new materials for next-generation semiconductors." (Quote and photo above from EMD Electronics LinkedIn Announcement LINK)

“Intermolecular’s 150,000 sqft facility in the heart of Silicon Valley is the perfect center to converge materials innovation and testing for our global customers,” said Anand Nambiar, Head of Semiconductor Materials business unit at EMD Electronics. “To continue scaling down to advance nodes and develop next-generation applications, a reliable supply of innovative materials is required. Our expertise in atomic engineering in combination with Intermolecular’s unique tools and processes, will enable our customers to test, validate, prototype and introduce new advanced technologies and materials faster in the market.”

“Ongoing miniaturization drives our customers to build their devices from the atoms up in complex 3D arrangements. Our advanced deposition materials allow them to create differentiation in their products,” said Casper van Oosten, Business Field Head and Managing Director for Intermolecular, Inc. “Until now, the value chain process to test and validate materials involved multiple steps and was time consuming. By combining capabilities, we now have access to the right team, tools and data and can provide our customers with a more seamless integration to prove new organometallic materials for electronic applications.”

On March 4, Merck KGaA, Darmstadt, Germany moved the Silicon Valley Innovation Hub to San Jose’s Intermolecular site creating a unique space for innovation and collaboration with start-ups at the intersection of life science, healthcare and electronic materials. The building boasts 30,000 square feet of cleanroom, chemical labs, offices, a collaboration area and event spaces. Intermolecular, Inc. (“Intermolecular”) is the trusted partner for materials innovation and a wholly-owned subsidiary of the EMD Electronics business of Merck KGaA, Darmstadt, Germany.

About EMD Electronics

EMD Electronics is the North America electronics business of Merck KGaA, Darmstadt, Germany. EMD Electronics’ portfolio covers a broad range of products and solutions, including high-tech materials and solutions for the semiconductor industry as well as liquid crystals and OLED materials for displays and effect pigments for coatings and cosmetics. Today, EMD Electronics has approximately 2,000 employees around the country with regional offices in Tempe (AZ) and Philadelphia (PA). For more information, please visit www.emd-electronics.com.

About Intermolecular

Intermolecular is a trusted partner for materials innovation and the Silicon Valley science hub of Merck KGaA, Darmstadt, Germany and its electronics business. Intermolecular explores, tests and develops advanced materials that are revolutionizing the next generation of electronics that make lives easier, entertaining and more productive. For more than 15 years, the team, methodologies and quality data have driven impactful outcomes, market opportunities and innovative product designs for customers.

About Merck KGaA, Darmstadt, Germany

Merck KGaA, Darmstadt, Germany, a leading science and technology company, operates across healthcare, life science and electronics. Around 58,000 employees work to make a positive difference to millions of people’s lives every day by creating more joyful and sustainable ways to live. From advancing gene editing technologies and discovering unique ways to treat the most challenging diseases to enabling the intelligence of devices – the company is everywhere. In 2020, Merck KGaA, Darmstadt, Germany, generated sales of € 17.5 billion in 66 countries.

The company holds the global rights to the name and trademark “Merck” internationally. The only exceptions are the United States and Canada, where the business sectors of Merck KGaA, Darmstadt, Germany operate as EMD Serono in healthcare, MilliporeSigma in life science, and EMD Electronics. Since its founding 1668, scientific exploration and responsible entrepreneurship have been key to the company’s technological and scientific advances. To this day, the founding family remains the majority owner of the publicly listed company.

Monday, May 3, 2021

APTC from South Korea is developing CVD, ALD and oxide etcher systems as it expands its portfolio

Since 2002, APTC has engaged in the manufacturing of dry etcher systems for mass semiconductor production. Today, the company supplies 300mm plasma etching systems, 200mm plasma etching systems, plasma doping systems, and light-emitting-diode etching systems. Over the past 19 years, APTC has been an established supplier for SK Hynix in South Korea and its mass production subsidiaries in China. SK Hynix is the second-largest memory chipmaker and third-largest semiconductor company globally.

300 mm Leo Poly Etcher system from APTC

With its numerous patents, awards, original plasma source technology and market leadership as South Korea’s sole domestic supplier of poly etching equipment, APTC is gearing up for growth at home and overseas. Fully committed to research and development (R&D) in pursuit of quality and innovation, APTC has invested US$20 million in American engineering capabilities, maintaining an R&D office in the United States, where it aims to work with tier-one semiconductor companies.

Listed on the Korea Exchange under the leadership of its current CEO, the company has revitalized its business strategy with plans to explore new markets, new clients, and new technologies. The state-of-the-art plasma technology also has a number of applications in the next-generation dry etch sector and its related innovations.

In semiconductor manufacturing, chemical vapor deposition (CVD) is a method used to produce high-performance and high-quality solid materials such as thin film in a vacuum, while atomic layer deposition (ALD) is a vapor phase technique of laying thin films on a substrate. APTC is developing CVD, ALD, and oxide etcher systems as it expands its portfolio of offerings.

Source: New strategy, markets and innovation fuel APTC’s rise in semiconductor etcher systems (LINK)

Wednesday, November 18, 2020

Japanese researchers enable high thru put conformal CVD for SiC on Silicon wafer integration

As reported by ACS (LINK) New, concise method proposed for conformal chemical vapor deposition using sacrificial layers (SLs). SLs are porous membranes that filter high sticking-probability species, while allow the passage of low ones.

This is a really clever by researchers at University of Tokyo and IHI Corporation for CVD to compete with ALD on conformality and keeping a high deposition rate and at the same time produce bulk material like SiC on Si for larger wafer diameter.


Figure from ACS Twitter post (LINK)

Reference:

Porous Membranes as Sacrificial Layers Enabling Conformal Chemical Vapor Deposition Involving Multiple Film-Forming Species
Kohei Shima, Yuichi Funato, Noboru Sato, Yasuyuki Fukushima, Takeshi Momose, and Yukihiro Shimogaki
ACS Appl. Mater. Interfaces 2020, 12, 45, 51016–51025
Publication Date:October 30, 2020
https://doi.org/10.1021/acsami.0c14069

Monday, May 18, 2020

ACM Research Enters Dry Processing Market with Launch of CVD/ALD Ultra Furnace

  • ACM’s First Furnace Product Targets LPCVD Initially, Oxidation, Annealing and ALD in Future
  • ACM Research intends to target customers in China initially, before expanding the offering of the Ultra Furnace into Korea and Taiwan later.
  • ACM delivered the first Ultra Furnace tool to a key logic customer’s manufacturing facility in China in early 2020. This tool targets LPCVD, and has been installed in a production environment to begin qualification.

FREMONT, Calif., April 28, 2020 (GLOBE NEWSWIRE) -- ACM Research, Inc. (“ACM” or the “Company”) (NASDAQ:ACMR), a leading supplier of wafer cleaning technologies for advanced semiconductor devices, today unveiled the Ultra Furnace, its first system developed for multiple dry processing applications. Initially optimized to deliver high performance for low-pressure chemical vapor deposition (LPCVD), the Ultra Furnace also leverages the same platform to be used for oxidation and annealing processes, as well as for atomic layer deposition (ALD). This achievement represents a two-year collaboration between ACM’s R&D teams located in China and Korea.



“Advanced technology nodes present ongoing challenges that require innovation from the capital equipment suppliers. This demanding environment provides significant opportunities for ACM,” explained Dr. David Wang, CEO of ACM Research. “Continuous innovation is in our DNA. We saw a market need that could benefit from our technology, and expanded our reach into a new market segment. The addition of the Ultra Furnace to ACM’s established portfolio of wet processing tools, expands our opportunity by providing an integrated solution to our customers’ advanced products.”

“The Ultra Furnace product is the result of collaboration between our talented experts in China and Korea to develop differentiated technology,” stated YY Kim, CEO of ACM Research Korea. “ACM’s team in Korea was established to complement the talents of our world-class Shanghai team, accelerate our time to market, and provide outstanding technical support to our local customers.”

Deposition processes utilize process gases at a high temperature to react with each other on a silicon wafer, forming a silicon oxide or nitride layer on the wafers. The Ultra Furnace system is intended for batch processing of up to 100 12-inch (300mm) wafers. The innovative system design combines newly developed hardware that improves durability, with the company’s proven software technology and a proprietary control system and algorithm. This enables the tool to provide stable control of pressure, gas flow rate and temperature.

While the Ultra Furnace system targets LPCVD processes, with a few changes to the components and layout, each tool can address other target applications. About 85 percent of the hardware configuration remains unchanged, so the alterations for the new application can be achieved efficiently.

ACM Research intends to target customers in China initially, before expanding the offering of the Ultra Furnace into Korea and Taiwan later. ACM delivered the first Ultra Furnace tool to a key logic customer’s manufacturing facility in China in early 2020. This tool targets LPCVD, and has been installed in a production environment to begin qualification.