Showing posts with label ALD. Show all posts
Showing posts with label ALD. Show all posts

Monday, November 11, 2019

ASM International launches A400(TM) Duo vertical furnace system with dual reactor chambers

New system addresses 200mm applications with high productivity and low cost of ownership

Munich - ASM International N.V. (Euronext Amsterdam: ASM LINK) today introduced the A400™ DUO vertical furnace system with dual reactor chambers for wafer sizes of 200mm and smaller. The system’s DUAL Boat reactors produce high throughput, increasing reactor utilization to a very high percentage, while ensuring low capex.

“The new A400™ DUO reactor ensures that ASM will extend its position as a leader in the market for Power, Analog, RF, and MEMS applications,” said Hichem M’Saad, ASM Executive Vice President, Global Products. “As 200mm manufacturing began its renaissance, driven by growth in for instance IoT devices, it became clear that our existing furnace technology could still achieve industry-leading results. Combining our technology with the latest innovations in robotics and controls has significantly enhanced the system’s manufacturing capabilities to meet today’s production targets.”



The new DUO is compatible with the original A400™, so existing process recipes can be easily transferred, accelerating system ramp. The system has secured production qualification from multiple customers in Europe, the United States and Asia, including several leaders in power, RF, and MEMS device manufacturing. To date over 20 reactors have been shipped, with a healthy outlook for further shipments.

ASM’s original A400™ vertical furnace system has a proven track record of more than 1000 reactors shipped to customers worldwide and over 25 years of maturity in semiconductor manufacturing. The new system has been modernized to support a variety of growing markets including silicon power, wide band gap semiconductor power, analog, RF and MEMS devices. With its updated control system, software with an intuitive graphical user interface, predictive maintenance by advanced control diagnostics, new robot, and plug-and-play installation, customers can count on the A400™ DUO delivering increased reliability with production output that achieves better repeatability, productivity, and time utilization.

Like its predecessor, the A400™ DUO offers a comprehensive portfolio of process applications including low pressure chemical vapor deposition (LPCVD) processes like doped silicon and silicon nitride films, diffusion processes such as wet oxidation and anneal processes.

Monday, July 1, 2019

PV manufacturers across China are switching to ALD passivation for PERC Solar Cells

Good news for Team-ALD! According to PV magazine, PV manufacturers across China are switching from plasma-enhanced chemical vapor deposition (PECVD) to atomic layer deposition (ALD) as the new method of choice to deposit aluminum oxide passivation layers for PERC solar cells.

Wei-Min Li (CTO of Leadmicro) claims that Leadmicro has so far equipped over 30 GW of manufacturing lines with ALD passivation systems and are still seeing growth. Today Leadmicro is supplying most of the leading Chinese PV module manufacturers with their ALD equipment. From a market share of under 2% in 2017, Leadmicro claimed close to 20% of sales in 2018, becoming the second largest supplier of PERC equipment on the market. In just three years, Leadmicro has grown to 300 employees.


Wei-Min Li (CTO of Leadmicro), Helsinki University ALD Alumni. Photo: Tweet by Mikko Ritala (LINK)

Besides the benefits of ALD in a lower cost of ownership and producing PERC solar cells with higher efficiency, ALD also beats PECVD in throughput. 

“When we entered the market in 2016, PECVD could handle only 3,000 wafers an hour,” says Li. “Our first generation of ALD systems processed 5,000 wafers an hour.” At SNEC PV Power Expo in June this year, Leadmicro plans on revealing the latest ALD system in its Kuafu line of products designed to passivate 10,000 wafers an hour.

Source: PV Magazine (LINK

Monday, June 24, 2019

RASIRC Peroxidizer – the preferred way for stable on demand delivery of highly reactive H2O2-ALD precursor

Thin film titanium dioxide (TiO2) is one of the necessary materials with numerous uses in the electronics, semiconductor, and display industry. TiO2 is a high-k material (k>90) and can, therefore, be used stand-alone or laminated with other high-k metal oxides (e.g., Al2O3, HfO2, ZrO2, SrO) for capacitor application in DRAM or embedded decoupling capacitors or energy storage. Due to excellent water vapor penetration properties, TiO2 is often employed as a barrier layer together with Al2O3 for very thin barrier systems on flexible electronics and display technologies. Besides these applications, there is a growing interest also in battery applications and coating medical implants and electronic devices with ALD TiO2 – even encapsulation of medicament particulates (ref. Nanexa AB, LINK).

One of the critical TiO2 thermal ALD processes is employing titanium isopropoxide (TTIP) and water as precursors, allowing deposition of high-quality barrier well below 200 °C. However, the TTIP low-temperature ALD processes suffer from very low GPS (<0.5 Å), which is terrible for throughput.


The ALD process window showing GPC (left) and refractive index (right) as a function of process temperature for H2O, O3 and H2O2 TDMAT based ALD of TiO2.

Another commonly used titanium precursor is TDMAT with H2O or O3 as co-reactants resulting in relatively higher GPC in the temperature range 100 to 200 °C, commonly used for flexible devices.

Ozone is typically losing its oxidation power at the lowest temperature range, at 100 °C and below which is needed for processing on e.g., OLED display devices. Therefore most ALD processes for metal oxides use H2O as co-reactant for low temperature.

Hydrogen peroxide (H2O2), which has a higher oxidation potential than H2O has shown many promising results in research and previous studies by RASIRC (Reference "Cheating Raoult’s Law"). In a most recent study by RASIRC and TNSC, results showcase clearly that the TDMAT ALD-TiO2 process is improving compared to H2O and O3 based processes:
  • Higher GPC 
  • Denser layers as indicated by the higher index of refraction, especially at low temperature (100 °C) Much lower wet etch rate (WER) 
  • Improved purity – less nitrogen and carbon incorporation, which is the driver for low WER.


RASIRC, has a mature delivery method for concentrated H2O2 – The Peroxidizer, which is an integrated source and delivery unit that can be facilitated as a stand-alone H2O2 delivery unit or integrated into the ALD tool gas and precursor delivery system. 

The Peroxidizer delivers hydrogen peroxide gas concentrations from 12,500 to more than 50,000 ppm depending on flow rate. Carrier gas flow rates can range from 5 to 20slm supplying into both vacuum and atmospheric pressures. The Peroxidizer adjusts the temperature to achieve the desired output.

The RASIRC Peroxidizer provides a safe, reliable way to deliver high-concentration H2O2 gas into ALD, annealing, dry surface preparation and cleaning processes.

The three key advantages of the Peroxidizer delivery technology are:

Higher concentration - The Peroxidizer delivers 10x concentration of hydrogen peroxide gas at a given temperature and delivers droplet-free gas at temperatures as low as 80 °C. The Peroxidizer delivers up to 5% hydrogen peroxide gas by volume from 30% hydrogen peroxide liquid solution.

Stronger Oxidant - Hydrogen peroxide gas readily converts to highly reactive OH radicals, creating a high-density ALD nucleation and faster reactions with precursors. Oxygen plasma can penetrate below the interface layer, damaging the bottom electrode and surface structures. Plasma cannot reach the bottom of deep structures as it requires line-of-sight, so coatings may be non-uniform, favoring the top of the structure.

Lower Temperature - High concentration hydrogen peroxide gas delivered by the Peroxidizer creates a dense hydroxylated layer at a lower operating temperature than other oxidants. To achieve the same level of reactivity, water requires higher process temperatures that are not compatible with new materials.

Finally, The Peroxider always beat the Bubbler and the Vaporizer because it enables on-demand stable flow control and no particle generation due to liquid micro-droplet formation. RASIRC will be presenting results about the Peroxidizer at the upcoming EuroCVD22/Baltic ALD 16 conference in Luxemburg (LINK).

More information

info@rasirc.com
0858.259.122
7815 Silverton Avenue
San Diego, CA 92126
USA
 

About RASIRC

RASIRC products generate and deliver gas to fabrication processes. RASIRC technology delivers water vapor, hydrogen peroxide and hydrazine gas in controlled, repeatable concentrations.





Tuesday, April 24, 2018

RASIRC to Present Anhydrous Hydrogen Peroxide Surface Preparation and Enhanced Nucleation for ASD at ASD2018

Area selective deposition is becoming increasingly important for the immense scaling effort continuously taking place in the semiconductor industry for Logic and Memory Devices. Today double and multiple pattering schemes using Plasma Enhanced ALD are in High Volume Manufacturing (HVM) for all sub 28 nm nodes and any moment now the industry expect to ramp EUV lithography, possibly at the 7 nm Foundry Node. Beyond that in a joint effort the researchers and the industry are looking for alternative patterning methods and many of them are based on so called bottom-up patterning.

To put things in perspective for ASD, one of the first area selective ALD processes in HVM was introduced in 300 mm DRAM manufacturing by Infineon Technologies in 2004 (90 nm Deep Trench DRAM presented in detail at IEDM 2004). This area selective ALD process relied on controlling the amount of hydroxyl groups in the upper part of a trench structure using the well-known TMA / H2O based process growing Al2O3. The goal was to let the process partially penetrate about 1 micron deep into very deep DRAM trenches to protect the silicon surface from a following isotropic etch that would widen the deep trench creating more surface and therefore allow a higher capacitance of the memory cell which is a key performance parameter in DRAM at about 25 fF/cell at that time.

In addition, the liner protected the collar region from dopant penetration keeping a well-defined dopant profile isolated from the wafer surface where the select transistor would later operate and it also defined a selective area for growth of Hemi-Spherical Grains (HSGs) another surface area expansion technology used in the DRAM industry. Please check the patent visualized below for many more details. This fascinating process was Self-Aligned and Area Selective in so many ways and kicked out a number of complex alternative integration paths saving a lot of $/wafer. By optimizing all process parameters it was possible to control the penetration depth of the liner, the transition region length down to the non-growth area, wafer uniformity and liner quality (density). This process was used until the end of the Deep Trench era which at this time had ~25% of the DRAM market but was killed at 65 nm when all companies had transitioned to stacked memory cells.

The Non-conformal ALD Al2O3 liner application as described in the US patent “Process for vertically patterning substrates in semiconductor process technology by means of inconformal deposition” (Figure from US7344953B2) 



Since then several things have happened. For one thing ALD has become a standard processing technology in Logic and Memory HVM forming its own Business Segment with an annual Equipment revenue >USD 1.5 Billion. Secondly, Atomic Layer Etching (ALE) has also entered HVM at the Logic 14 nm FinFET manufacturing. In parallel several efforts have begun to explore novel methods for ASD. These utilize Self-Assembled Monolayers, Patterned Photoresists, Selective CVD processes (e.g. Cobalt CVD), Plasma deposited films and other creative surface blocking agents and employing ALD and ALE in combination to trigger or block surface growth.  In parallel, reactive surfaces must be created for high nucleation and growth of metal oxide films.  An ideal surface treatment for the latter will:

                  Create high density surface functionalization
                  Have zero or minimal sub-surface oxidation
                  Lead to faster and more uniform nucleation versus H2O
                  Remain non-reactive with organic functionality or photoresist on adjacent surfaces

The use of the novel reactive chemistry, anhydrous hydrogen peroxide, has been largely ignored. This is due to: a lack of literature precedent; that H2O2 is typically delivered with H2O (multiple publications from K. Kukli et al at University of Helsinki and Tartu) where water dominates the reaction chemistry; and that only recently did this material become available by RASIRC (San Diego, USA) in an ampoule form that could be integrated into ASD process equipment.

Besides water, Ozone is an important co-reactant and oxidative precursor in ALD of metal oxides for, e.g., High-k dielectrics in DRAM Capacitors. Hydrogen Peroxide has similar oxidation properties to Ozone (oxidation potential O3 = 2.1V versus 1.8V for H2O2) while simultaneously having slightly stronger proton transfer properties than water (water pKa = 7.0 versus 6.5 for H2O2). According to Jeff Spiegelman (CEO and Founder of RASIRC) the key learning from early discoveries is the fact that H2O2 has a very weak O-O bond, where Bond Energy = 36 kcal/mole and you can imagine that it is thus much more readily available to conduct reactive surface chemistry in an ALD process than the oxygen atom in the water molecule.

RASIRC and their collaborative network of leading scientists and customers around the world have in recent years conducted exciting work with anhydrous hydrogen peroxide that demonstrates the following with regard to the required attributes for ASD:

                  Dry H2O2 creates 3-5 times higher nucleation surface density of hydroxyl groups
(-OH) versus water on metal surfaces
                  Monolayer hydroxyl (-OH) surface functionalization can be obtained by dry H2O2 on Si surfaces without sub-surface oxidation
                  Faster nucleation and growth of Al2O3  is observed utilizing dry H2O2 on Si-H surfaces versus H2O
Little to no Photoresist removal occurs from reaction with hydrogen peroxide at temperatures up to 300°C.

BRUTE Peroxide Ideal Chemistry for Area Selective Deposition yielding: High density surface hydroxylation, minimal sub-surface oxidation, faster and more uniform nucleation versus H2O, non-reactive with protecting groups on adjacent surfaces and Peroxide will grow a High Quality Metal Oxide
 

In addition, RASIRC has demonstrated that metal oxide films such as the most important ones; Aluminum oxide, Hafnium oxide, and Zirconium oxide have high quality film properties nearly identical to those grown by ozone methods.


In 3D-structures with extreme high aspect ratio (DRAM, 3DNAND) ozone will penetrate deep down the structure before reacting with the surface groups since the sticking coefficient is much smaller than H2O or preferably H2O2. This means that area selectivity employing ozone is difficult to achieve. You can imagine that Dry H2O2 would have been very beneficial back in 2004 for the non-conformal liner case described above by allowing use of a much thinner liner with higher density and therefore higher thru-put. Potentially also Dry H2O2 would allow for a sharper transition region – to be discovered!

RASIRC Chief Technology Officer Dan Alvarez will present additional details on the newly discovered reactivity of anhydrous hydrogen peroxide on several surfaces as well as outline some potential ASD pathways at AVS ASD2018, North Carolina State University, April 29 to May 1, 2018. (https://asd2018.avs.org/)

This is the 3rd time the ASD Workshop will be held. It is a fully supported AVS event and there has been a growing interest in ASD. In the future we can expect that it will form a solid business segment as ALD and ALE and bring in new players, both academic and industrial, in the exciting field of Atomic Level Processing!