Showing posts with label ALD2016. Show all posts
Showing posts with label ALD2016. Show all posts

Thursday, March 18, 2021

AlixLabs AB launched ALE Pitch Splitting (APS) for the first time at ALD & ALE Ireland 2016

We did not want to steal the thunder from our good Irish friends and St. Patrick Day we wish you all a healthy recovery today and breakfast for Champions. Yesterday we remembered our trip to Dublin and launching our proprietary technology, APS - ALE Pitch Splitting, for the first time to a bigger audience. Since then a lot of things has happened at AlixLabs AB in Lund, Sweden:

  • We received soft funding and invest support to found the company by LU Holding (LINK) - AlixLabs AB in Lund, Sweden operating out of IDEON Science park (LINK) and Lund Nano lab at Lund University
  • We received SwedishVinnova grant for "Innovative startups" 93 startup-bolag får dela på 28 miljoner | Vinnova
  • We hired our first Full-time employee Dr. Mohammad Karim, Principal Scientist (LINK)
  • We signed an agreement to use the lab facilities of Sweden’s largest research environment for nanoscience and nanotechnology - Lund Nano Lab (LINK)
  • We applied for an Additional 2.7 MSEK EU and National Swedish Soft co-funding in early 2021 and have additional 3 projects in the pipeline.
  • We have started our 1st Investment round to close before Swedish Midsummer - please get in touch if you want a meeting! (jonas@alixlabs.com or LinkedIn: LINK)


ALE Pitch Splitting (APS) taking place at the Lund Nano Lab exhibition stand in the ALD Ireland 2016 Industry Exhibition.

Video from presentation invited given by Dr. Dmitry Suyatin LINK. Staff Engineer at Lund Nano Lab and CTO of AlixLabs AB and on the Scientific Committee of AVS ALE.


A possible starting point of ALE Pitch Splitting explained best using Guinness Beer Barrels.


Prof. Samuelson from Lund University opening ALD Ireland 2016


Dr. Simon Elliott, main Chair of the Conference, teaching us how to tap a Guinness with a perfect solid head. Then at Tyndall National Institute and now Director of  Atomic Level Simulation at Schrödinger.


Dr. Dmitry Suyatin AlixLabs to be CTO at the Poster Session after his invited talk on ALE Pitch Splitting (Abstract LINK).


Interesting discussions with the Inventor of the Entegris/ATMI ProEvap Technology, Ravi Laxman, for solid precursor delivery in HVM at all the leading IDMs and Foundries since 2007.


Social Event by Air Liquide and Picosun at The Temple Bar in Dublin


Social Event by Air Liquide and Picosun at The Temple Bar - all enjoying a few pints of Guinness.


Industry panel on the future of ALD and ALE with the leading expertise Prof. Ritala (Helsinki University, Prof Roozeboom (TU Eindhoven/TNO), Dr. Suvi Haukka (ASM Micro Chemistry), Dr. Jean Marc Girard (Air Liquide and Dr. David Thompson (Applied Materials)


Moderation by ALD2016 Co-ChairDr. Jonas Sundqvist, then Operation Manager of Lund Nano Lab.


Green Beam splitting at the Gala Dinner & Award ceremony.


The fantastic Conference Centre in Dublin by night, The Convention CentreDocklands, Dublin 

All photos above by Herr und Frau Dr. Knaut (C)2016 (https://www.katharinaknaut.com/index.php?seite=archiv&name=201608jonasald)


Thursday, November 2, 2017

ALD Ireland 2016 co-chairs Simon & Jonas wishing ALD2018 Jin-Seong & Hanjin all the best and a successful conference preparation!

Today Simon and Jonas met in Dresden to catch up and take a selfie with Fraunhofer and at the same time wishing ALD2018 Jin-Seong & Hanjin all the best and a successful conference preparation!
AVS ALD 2018 Incheon, South Korea, ly 29-Wednesday, August 1, 2018: https://ald2018.avs.org/

Wednesday, November 9, 2016

The speaker videos from ALD2016 Ireland are here!

Conference Programme and speaker videos - The conference programme and speaker videos for the The 16th International Conference on Atomic Layer Deposition can be viewed here.

To search:
  1. Click on programme / title / presenter / topic
  2. Open the abstract
  3. The video link is detailed at the top of the abstract
Please note that videos are only listed for the speakers that have granted permission for publication. 
 
 
Fotografie Katharina Knaut (LINK)

Monday, September 26, 2016

ALD Fest - ALD Lab Saxony Kick Off 2016

This year we had our yearly ALD Lab Fest in great ambiance at the “Ball und Brauhaus Watzke” in Dresden. For the first time as ALD Lab Saxony Fest conference reports, an ALD market overview and internal topics were presented and discussed before we enjoyed the tasty Watzke beer and food. Many thanks to all participants for the contributions and the nice afternoon/evening.
 
 
A program covering The ALD Lab Saxony Kick off and Review of ALD2016 Ireland was given with Presentations by IHM, Fraunhofer & NaMLab. Some photos from the event is given below and many more and presentations you can get from : http://www.ald-lab-saxony.de/ald-lab-saxony-fest-2016/

Introduction to ALD Lab Saxony & Market update for Atomic Layer Deposition, Jonas Sundqvist
 
(Photo by Martin Knaut)
 
Review of ALD2016 : Christoph Hossbach, Martin Knaut, Marcel Melzer, Jonas Sundqvist, Uwe Schröder, Dustin Fischer et al
 
Delegates from ALD Lab Saxony made 20 contributions in the form of Oral and Poster presentations at ALD2016 Ireland. A selection of these as well as other inspiring contributions to ALD2016 was highlighted at ALD Fest.
 
 
 
(Photo by Martin Knaut)
 
ALD Precursor Offering & Update from Pegasus and Fab Support, Jonas Andersson

(Photo by Martin Knaut)
 
Guest of Honor - Prof. Sean Barry, Carleton University Canada

(Photo by Martin Knaut)

Help with solving 2D ALD problems



Watzke Bier!

(Photo by Martin Knaut)

The event was sponsored by Pegasus Chemicals, Fabsupport and Cool Silicon e.V.

 

Thursday, September 1, 2016

DARPA Researchers Develop Novel Method for Room-Temperature ALD

Successful deposition of silicon and gallium nitride at low temperature could allow three-dimensional control of thin films and integration of previously incompatible microelectronics materials.

DARPA-supported researchers have developed a new approach for synthesizing ultrathin materials at room temperature—a breakthrough over industrial approaches that have demanded temperatures of 800 degrees Celsius or more. The advance opens a path to creating a host of previously unattainable thin-film microelectronics, whose production by conventional methods has been impossible because many components lose their critical functions when subjected to high temperatures. 
 

Pictured is a Gallium Nitride film deposited on a Silicon substrate at 27 degrees Celsius (80 degrees Fahrenheit) using an innovative process for depositing super thin films. Current deposition methods for these materials require temperatures around 800 degrees Celsius (1,472 degrees Fahrenheit), which is incompatible with microelectronics processing due to the damage that heat can wreak on underlying substrate materials.This new method could allow integration of previously incompatible microelectronics materials. (University of Colorado Boulder image)
 
The new method, known as electron-enhanced atomic layer deposition (EE-ALD), was recently developed at the University of Colorado, Boulder (CU) as part of DARPA’s Local Control of Materials Synthesis (LoCo) program. The CU team demonstrated room-temperature deposition of silicon and gallium nitride—linchpin elements in many advanced microelectronics—as well as the ability to controllably etch specific materials, leading to precise spatial control in three dimensions. Such a capability is critical as the demand grows for ever-smaller device architectures.

After first demonstrating the process in early 2015, team members went on to perform detailed mechanistic studies to learn how best to exploit and control EE-ALD for film growth. By controlling the electron energy during the ALD cycles, they discovered that they could tune the process to favor either material deposition or removal. The ability to selectively remove (etch) deposited material with electrons under conditions as low as room temperature is unprecedented and is anticipated to enhance film quality. The group is also exploring other methods to etch specific materials—such as aluminum nitride and hafnium oxide, important in specialized electronics applications—showing that they can selectively etch these materials in composites, which provides an attractive alternative to traditional masking approaches.

CU has also built a custom deposition chamber to demonstrate industrial relevance and scalability of the EE-ALD process, which can deposit or etch films composed of multiple materials on industrial-scale six-inch silicon wafers. In principle, the method could be scaled to larger substrates and parallelized to process many wafers at once. The researchers are now working to understand the vast parameter space of the EE-ALD process to better control film composition and properties in three dimensions.

“Looking forward, the EE-ALD approach could serve not just as a tool for integrating incompatible materials but also more generally to build and etch device architectures at atomic scales, an increasingly important capability as circuit geometries shrink,” said Tyler McQuade, DARPA program manager.

CU’s work, which was performed in collaboration with the Naval Research Laboratory and National Institute of Standards and Technology, was recently recognized as one of six “Highlights of 2016”—selected from more than 400 accepted oral presentations and posters at the 16th International Conference on Atomic Layer Deposition in Dublin, Ireland.

Electron enhanced atomic layer deposition (EE-ALD) of silicon films at room temperature
Jaclyn Sprenger1, Huaxing Sun1, Andrew Cavanagh*1, Steven George1,2
1University of Colorado, Department of Chemistry and Biochemistry, USA, 2University of Colorado, Department of Mechanical Engineering, USA

Wednesday, August 17, 2016

ALD Lab Saxony auf der ALD2016 in Dublin, Irland

Unter inhaltlicher Leitung von Herrn Dr. Simon Elliott (Tyndall National Institute) und Herrn Dr. Jonas Sundqvist (Lund University & Fraunhofer IKTS) fand die weltgrößte ALD Konferenz dieses Jahr in Dublin (Irland) statt.
 

Mehr als 800 Teilnehmer, zu gleichen Teilen Forschungs- und Industrievertreter, informierten sich zu den Fokusthemen „Atomic Layer Deposition and Etching“ und tauschten sich zu aktuellen Entwicklungen und Anwendungen aus. Besondere Aufmerksamkeit konnte das ALD Lab Saxony im Feld „ALD Precursor Screening„ und "3D Integration - Advanced Packaging“ verzeichnen.

 

Auf dem Gemeinschaftsstand des ALD Lab Saxony präsentierten sich unsere Mitglieder mit ihren Leistungen, Produkten und Kompetenzen. Die stetig hohen Besucher- und Interessentenzahlen am Stand unterstreichen die wachsende Bedeutung des „Atomic Layer Processing“ für die Wirtschaft.

Höhepunkt für das ALD Lab Saxony war zweifelsohne die Präsentation von 18 wissenschaftlichen Veröffentlichungen durch Mitglieder von ALD Lab Saxony. Abgerundet wurde das Konferenzprogramm mit einem Besucherrundgang durch das Tyndall National Institute.

Weitere Informationen u.a. zu den Fachvorträgen finden sie hier
 
 
Die nächste ALD Konferenz in 2017 wird in Denver, Colorado (USA) stattfinden und bietet Ihnen bereits jetzt lukrative Sponsoring-Pakete.

Wednesday, August 3, 2016

ALD History Blog: ALD Innovation Prize to Dr. Suvi Haukka, ASM

Riikka Puurunen has written a nice blog about Dr. Suvi Haukka, ASM was awarded the ALD Innovation Prize at the ALD 2016 Ireland conference. Below are some additional pictures from the Prize giving ceremony at the opening of the conference.

 
History of ALD Innovation Prize, as shared by Gregory Parsons. Photo by Riikka Puurunen. (ALD History Blog)


ALD History Blog: ALD Innovation Prize to Dr. Suvi Haukka, ASM: Dr. Suvi Haukka , executive scientist at ASM , located Finland, was awarded the ALD Innovation prize at the ALD 2016 Ireland conference. I...

Picture from BALD Engineering (Twitter.com)

Pictures from www.ald2016.com

Sunday, July 31, 2016

ALD History Blog: ALD 2016: Travel notes

ALD History Blog: ALD 2016: Travel notes: The 16th International Conference on Atomic Layer Deposition ALD2016 (http://www.ald2016.ie), including the 3rd International Workshop on Atomic Layer Etching, was organized in Dublin, Ireland, July 24-27, 2016. I had a pleasure to participate at the conference as a speaker, poster presenter, and as an International Programme Committee member. This blog post shares my travel notes from conference, thereby continuing my series on travel notes first written for the ALD Russia 2015 workshop and thereafter for Baltic ALD 2015, my St Petersburg visit Nov 2015, and HERALD Helsinki seminar May 2016. 

Continue reading Riikkas ALD 2016 Travel notes here.



Trends in plasma-enhanced atomic layer deposition

The mysterious Plasma ALD Guy (PAG) had a great time at ALD 2016 this past week. PAG presented a poster on Tuesday evening that was enjoyed by many. If you missed it, you can check it out here.



Based on the review 2013 to 2015 South Korea is in the lead judging by the number of PEALD publications followed by USA and Germany. Striking is the lack of PEALD publications coming from the leading ALD centers of Helsinki University, VTT and Aalto University.

The other observation is that >75% of all PEALD is performed for pretty basic ALD materials (Al2O3, AlN, TiO2) using basic ALD precursors like TMA. The Finnish ALD community has a strong tradition of focusing on new precursor chemistries and new materials and that may be an explanation why PEALD is not in the focus there.

You also see that Turkey is very strong represented in this field. Unfortunately many of the Turkish Scientists were not allowed to participate in ALD2016.