Showing posts with label ALD Equipment. Show all posts
Showing posts with label ALD Equipment. Show all posts

Wednesday, February 28, 2024

ASM International: Spearheading Semiconductor Innovation in ALD, Epitaxy, and CVD Markets

ASM International N.V. (Euronext Amsterdam: ASM) yesterday reported its fourth quarter 2023 operating results (unaudited). Double-digit full-year revenue growth, outperforming softer WFE market in 2023

“2023 was another successful year for ASM. Sales increased by 13% at constant currencies, despite softening market conditions, and marking the seventh consecutive year of double-digit growth.” said Benjamin Loh, CEO of ASM. “Revenue in Q4 2023 amounted to €633 million, in line with our guidance of €600-640 million and down compared to the level in Q4 2022. Revenue in the quarter was supported by strong sales in the power/analog/ wafer segment. Bookings at €678 million were slightly better than our expectation and were driven by GAA pilot- line orders and continued strength in China demand.

ASM's Leadership in the Growing ALD Market

According to ASM, the single wafer Atomic Layer Deposition (ALD) market is experiencing significant growth, with projections indicating an increase from $2.6 billion in 2022 to a range of $4.2 billion to $5.0 billion by 2027. This growth, characterized by a Compound Annual Growth Rate (CAGR) of 10-14% from 2022 to 2027, underscores the expanding role of ALD technology in semiconductor manufacturing. ASM International, a key player in the semiconductor industry, holds a dominant position in this market, commanding a share of over 55% throughout the forecast period.

Please note that this market assessment, most probably originally from TechInsights (prev. VLSI Research) does not include Large Batch furnace ALD, which historically have been about 30% of the total 300 mm ALD equipment market. The leaders in this segment are Tokyo Electron followed by Kokusai and ASM chose not to compete with its A412 ALD product line.

Driving Forces Behind ALD Market Expansion

The expansion of the ALD market is propelled by a series of technological advancements and increasing demands within the semiconductor sector. Key factors contributing to this growth include the industry's shift towards Gate-All-Around (GAA) technology, the necessity for advanced high-k gate dielectrics, and the precision required for threshold voltage tuning. Additionally, the development of sacrificial layers and the use of high aspect ratio Through-Silicon Vias (TSVs) are critical in advancing semiconductor manufacturing techniques. The application of metals and the adoption of selective ALD processes further accentuate the importance of ALD technology in modern semiconductor fabrication.


ASM's Strategic Positioning and Market Opportunities

ASM is well-positioned to capitalize on the opportunities presented by the burgeoning ALD market. The company's strategic emphasis on innovation, coupled with its comprehensive product portfolio, positions ASM as a frontrunner in meeting the evolving needs of the logic/foundry and memory segments of the semiconductor industry. The transition to advanced manufacturing technologies, such as GAA and high-k metal gate applications, presents significant growth avenues for ALD, with ASM at the forefront of this technological evolution.

To be more specific, the transition to GAA technology and the expansion in FinFET applications are set to significantly increase ASM's served available market by approximately US$400 million for every 100,000 wafer starts per month (WSPM). According to ASM, the equipment orders started to come in in the 2nd half of 2023. We can assume that this are orders from Samsung, TSMC and Intel. It is however about peculiar since Samsung had 3 nm GAA going already with yield in August 2023 and ASM is describing it as GAA pilot lines. Anyhow, come 2028 when all leading foundries including Rapidus in Japan are up and running GAAFETs, this additional market will be + USD 1.5 B as compared to if it would have been "only" FinFET technology - according to my back of the envelope calculations. For a company like ASM, with just below USD 3 B (2.6 B EUR) annual Revenue 2023 this is a huge thing. If this is not enough to go woah - add to that the GAAFET market is an upwards moving target and will continue to grow and looking ahead stacking of NMOS/PMOS will drive further demand for this type of ALD and Epi processes.

Expansion into the Epitaxy and CVD Markets

The Silicon Epitaxy (Si epi) market is also on a growth trajectory, with forecasts suggesting it will reach between $2.3 billion and $2.9 billion by 2027. ASM aims for a market share target of over 30%, focusing on both leading-edge and non-leading-edge segments. The leading-edge growth is driven by transitions to GAA technology and advancements in high-performance DRAM, while the non-leading-edge growth is buoyed by wafer power analog and strong momentum from ASM's Intrepid ESA. The epitaxy market is expected to see a Compound Annual Growth Rate (CAGR) of 3-8% from 2022 to 2027, with the leading-edge segment outpacing the overall market with a CAGR of 10-15%.

Regarding the SiC market, the investor presentation highlighted significant growth in power/analog/wafer revenue, almost doubling, primarily driven by robust demand in China. This growth was positively impacted by the consolidation of LPE (SiC Epitaxy), with sales comfortably exceeding the target of more than €130 million in 2023. This indicates ASM's strong performance in the SiC market and its successful integration and expansion in SiC epitaxy, aligning with the broader industry trend towards more advanced and efficient semiconductor materials.

Chemical Vapor Deposition (CVD) technology is another area of focus for ASM, particularly in the context of transitioning to new materials like Molybdenum, which is replacing traditional materials such as CVD Tungsten and PVD Copper in interconnect applications. This shift is indicative of the evolving needs within the semiconductor manufacturing process and highlights ASM's adaptability to changing market dynamics.

In summary, ASM's strategic initiatives in ALD, Epitaxy, and CVD technologies underscore the company's commitment to innovation and leadership within the semiconductor equipment market. Through a combination of market foresight, technological prowess, and strategic investments, ASM is well-positioned to capitalize on the growth opportunities presented by the evolving semiconductor landscape. 

Tuesday, January 23, 2024

Significant Investment in ALD Technology for MicroLED & AR: Oxford Instruments' Pioneering Role

Oxford Instruments, a renowned name in the realm of technology, has recently made a significant contribution to the advancement of MicroLED and Augmented Reality (AR) devices. The company has supplied its state-of-the-art Atomic Layer Deposition (ALD) technology to a leading UK manufacturer. This move is set to revolutionize the way we experience consumer-immersive reality products and display devices.

The Rise of MicroLED and AR

The demand for wearable devices with compact form factors and high-definition displays is skyrocketing. This trend is driving extensive research and development in the industry. MicroLEDs stand out in this arena for their ability to offer incredibly small die pitch sizes (less than 10 µm), enabling the miniaturization of wearable display devices without compromising image resolution. However, as pitch sizes shrink, the challenges in manufacturing increase, particularly regarding the damage caused during mesa formation and isolation steps. This damage can significantly impede device performance.


Oxford Instruments' Breakthrough with Plasma ALD

At the 2023 International Conference on Nitride Semiconductors (ICNS) in Fukuoka, Japan, the spotlight was on Plasma ALD technology, provided by Oxford Instruments. This technology has been hailed for its ability to mitigate damage and substantially boost external quantum efficiency – a critical measure of material performance. The Plasma ALD technology from Oxford Instruments, especially their high-K passivation solution, is optimized for smaller dies, enhancing performance in devices like head-mounted displays for virtual reality and smartwatches.


Oxford Instruments' ASP tool for PEALD offers precise, plasma-enhanced thin-film deposition, ideal for semiconductor, photonics, and microLED applications.

Klaas Wisniewski, Oxford Instruments' Strategic Business Development Director, expressed excitement about their Plasma ALD technology's growing market presence. "Our low-damage Plasma ALD technology, especially on our 200 mm capable platform, has been instrumental in doubling the external quantum efficiency for some of our customers at ICNS," said Wisniewski.

Looking Forward: Photonics West 2024

Oxford Instruments is not resting on its laurels. As a leader in compound semiconductor processing equipment, the company is set to participate in Photonics West 2024 in San Francisco. There, Oxford Instruments will showcase its latest optoelectronics processes for augmented, virtual, and mixed reality, as well as quantum and data transfer applications critical for AI and machine learning. This event will be an excellent opportunity for industry professionals to learn how Oxford Instruments' etch, deposition, and Ion Beam process solutions can enhance their projects, ensuring higher efficiency and lower costs.




In conclusion, Oxford Instruments' investment in ALD technology for MicroLED and AR is a game changer, marking a significant step forward in the evolution of consumer electronics and immersive reality experiences.

Wednesday, January 10, 2024

NCD supplied repeated ALD equipment for special protective coating

NCD Co., Ltd. has again supplied large-scale productive ALD equipment to a Korean customer. It is for special coating parts used in semiconductor equipment to protect from corrosion and plasma arcing. This contracted equipment is the improved Lucida GSH Series ALD, which is capable of coating more and heavier products at once than the existing equipment. So the customer is able to obtain the greater increased productivity and reduced coating costs.

As semiconductor devices become smaller and more integrated, particles and byproducts that were not a problem before can significantly affect device performance, so the cleaning cycle and life time of parts used in semiconductor equipment are becoming shorter.



Lucida GSH Series ALD

Therefore, atomic layer deposition protective coating on parts can be a very effective solution, and high-quality, uniform atomic layer coating can achieve the effect of increasing the usage time of expensive parts in semiconductor equipment without cleaning and replacement.

Previously, atomic layer deposition protective coating was widely applied to expensive parts such as showerheads and ESCs, but recently, it has been applied to various semiconductor equipment parts with complex gas paths, quartz products with complicated shapes, and high-purity precursor canisters that require the lowest impurity control. The scope of application is gradually increasing so we will look forward to steady and continued expansion of products and markets in this application field.

This repeated contract confirms once again the excellence of NCD's industrial atomic layer deposition technology and equipment to its customers, and it showed NCD to have occupied the leader on the gradually expanding market of atomic layer deposition equipment for part protection coating. NCD will continue to pioneer new atomic layer deposition markets based on its best technology and customer trust.

Wednesday, December 6, 2023

ASM International Announces $300M Expansion in Arizona, Boosted by Dutch-U.S. Collaboration with Prime Minister Mark Rutte's Support

ASM International N.V. is set to expand its U.S. operations with a €300 million investment in a new facility in Scottsdale, Arizona. This expansion, covering more than 20 acres, aims to bolster their research, technology development, and manufacturing capabilities in the semiconductor industry. The state-of-the-art site, spanning 250,000 square feet, will host various functions, emphasizing renewable energy and sustainability.




A rendering of the new ASM HQ (source ASM America)

This move marks a significant step in reinforcing Arizona's position as a hub for semiconductor innovation and highlights the strong Arizona-Netherlands partnership. Notably, Mark Rutte, Prime Minister of the Netherlands, emphasized the importance of this expansion in strengthening cross-border collaborations and the global semiconductor value chain. The initiative is viewed as pivotal in fostering Dutch-U.S. partnerships and propelling advancements in the industry.

“Arizona and the U.S. are valuable partners in the Netherlands’ mission to co-create solutions that promote innovation and partnerships in the global semiconductor value chain,” said Mark Rutte, Prime Minister of the Netherlands. “It is through these types of cross-border collaborations that we are shaping the future of advancements in this growing industry.”

The new facility will create approximately 500 new jobs over six years, focusing on engineering and research roles. ASM's commitment to sustainability is evident in its plans for LEED certification and water reuse programs. Additionally, the company has formed partnerships with local organizations for environmental conservation efforts, showcasing its dedication to community and environmental stewardship. This expansion by ASM International is set to significantly enhance semiconductor technology and innovation, highlighting the growing synergy between the U.S. and the Netherlands in this vital sector.
Source:

Wednesday, November 29, 2023

Launch of the Oxford Instruments Innovation Centre: Advancing Scientific Research and Collaboration

The Oxford Instruments Innovation Centre, a state-of-the-art facility, has been launched at its High Wycombe site. This Centre consolidates Oxford Instruments' analytical innovations, aligning with its mission of fostering a greener, healthier, more connected society. The inauguration welcomed dignitaries like Countess Howe, Lord-Lieutenant of Buckinghamshire, and Cllr. Paul Turner, Mayor of High Wycombe, alongside prominent figures from academia and industry. Dr. Ian Wilcock, Managing Director, led the opening, showcasing the Centre's role in advancing scientific research in various fields. The facility, a collaboration hub, allows access to cutting-edge technology and expertise. Oxford Instruments, a pioneer since 1959 and a significant contributor to Nobel-prize winning research, continues to impact science, particularly in material analysis, underlining its global technological leadership.


Source: Oxford Instruments Launches Innovation Centre - Oxford Instruments (oxinst.com)

Monday, November 27, 2023

Congratulations to Jusung Engineering on 30 Years of Pioneering Innovation and Market Leadership in ALD, Semiconductor, Display, and Solar Technologies!

Jusung Engineering, celebrating its 30th anniversary, is a leader in semiconductors, displays, and solar equipment. Founded in 1993, the company has seen considerable growth through innovation, achieving record sales and operating profits last year. It specializes in semiconductor equipment for memory and non-memory sectors, leveraging advanced ALD technology. In displays, Jusung is diversifying equipment for various panel sizes, while in solar, it's innovating with high-efficiency solar cells. The company is investing in future growth, with new R&D and manufacturing facilities, focusing on technological independence. It holds over 3,000 patents, with 65% of its workforce in R&D, investing 15-20% of sales in technology development. The CEO attributes their success to relentless innovation and a unique path, with plans to maintain leadership in future technologies and expand into global markets. Despite recent challenges, Jusung remains optimistic, prioritizing innovation and market creation.


The Jusung Engineering headquarters in Gwangju, Korea 출처 : Businesskorea (https://www.businesskorea.co.kr)

Fullarticle and interview:

Jusung Engineering Leading in Semiconductor, Display, Solar by Innovating with Atomic Layer Deposition - Businesskorea

Thursday, October 26, 2023

Kokusai Electric's Stellar Tokyo IPO: A Surge in Stock, High Hopes for the Future

Kokusai Electric's stock rose 28% in its Tokyo debut after KKR sold its shares for $724 million, marking Japan's largest IPO in five years. Closing at 2,350 yen, Kokusai's valuation reached $3.61 billion. This was the biggest Tokyo listing since SoftBank Corp. in 2018. KKR reduced its stake from 73.2% to 47.7%. Analysts noted a challenging market for chip-related stocks but anticipate a rebound for Kokusai. The company specializes in machines for silicon wafer films, with major clients like Samsung. Despite a predicted profit drop, Kokusai's President sees growth potential by 2025. KKR's previous sale attempt to Applied Materials was unsuccessful. The IPO saw huge interest, with foreign investors oversubscribing by over 10 times.


Source: Kokusai Electric shares jump 28% in Tokyo debut - Nikkei Asia

Friday, September 22, 2023

Kokusai Electric's Upcoming IPO: A Strategic Move in the Booming Semiconductor Market

Kokusai Electric is set to go public on the Tokyo Stock Exchange on October 25th, with an indicative share price of 1,890 yen per share. The IPO aims to raise 111.2 billion yen ($749.88 million) to fund research investment in the chipmaking equipment market. This move also serves as a partial exit for KKR, which previously purchased Hitachi's electronic equipment unit in 2017 and later spun off Kokusai.

In 2019, KKR attempted to sell Kokusai to Applied Materials for $3.5 billion, but the deal fell through due to regulatory hurdles in China. Applied Materials subsequently acquired a 15% shareholding in Kokusai. Kokusai Electric specializes in semiconductor manufacturing equipment, particularly in Atomic Layer Deposition (ALD) technology, with a strong market position, including a 23% share of the global ALD equipment market in 2020.


Kokusai Electric's ALD equipment portfolio includes products like TSURUGI-C²® for 300mm wafers and VERTRON® Revolution for 200mm wafers, known for their high performance and productivity. The company's main customers for ALD equipment include Samsung, SK Hynix, Micron, and Intel. Kokusai Electric's IPO is scheduled for September 23, 2023.

Saturday, September 9, 2023

ATLANT 3D Hosts Workshops Unveiling Atomic Layer Advanced Manufacturing Innovation

Atlant 3D will hold a series of workshops happening from October 7th to 13th. We Open Door to ATLANT 3D to share our cutting-edge innovation in atomic layer processing, and we will show you insights on how atomic layer processing will become an atomic layer advanced manufacturing platform!
ATLANT 3D technology is our proprietary Microreactor 

Dive Deep into the World of Atomic Precision with ATLANT 3D!
Event by ATLANT 3D

Oct 7, 2023, 9:00 AM - Oct 13, 2023, 4:00 PM (CET)

Mårkærvej 2b, Taastrup, Region Hovedstaden, DK, 2630


Direct Atomic Layer Processing (μDALP), which works by sequentially applying reactive gases to a surface via a microreactor/micronozzle and generating self-controlled chemical reactions on the surface. Repeating this process in cycles enables rapid, direct, multi-material, atomically precise patterning and structuring on simple and complex surfaces, including semiconductor wafers and surfaces with existing structures and devices. Integrating multiple micronozzles in a single printhead allows increasingly complex structures to be fabricated through the simultaneous use of different materials and printing resolutions. 

ATLANT 3D equipment is built as modular units which gives compatibility across different platforms and technologies. It can work as a production line based on process modules and as a cluster tool-compatible module unit.



SkyWater Installs Advanced Atomic Layer Deposition System from Picosun

SkyWater Technology (SkyWater Technology | U.S. Semiconductor Manufacturer) has announced the installation of an Atomic Layer Deposition (ALD) system, the Applied Picosun MorpherTM, to offer advanced semiconductor processing capabilities to its customers. ALD is a precise method for depositing ultra-thin, uniform, and conformal material layers, making it ideal for applications like sensors and emerging memory technologies. SkyWater's Technology as a Service (TaaS) model allows customers to access this technology for developing and producing innovative technologies. The ALD tool has two thermal batch chambers for depositing metals and oxides, ensuring high-quality and repeatable results. This move aims to meet the increasing demand for ALD applications in semiconductor processing, particularly in areas such as biosensors, photonics, and extreme CMOS applications.


SkyWater Minnesota Fab (SkyWater)

SkyWater Technology is a leading U.S.-based semiconductor manufacturer with a unique Technology as a Service (TaaS) model. They offer a wide range of semiconductor solutions, serve various industries, and emphasize innovation and quality. Their expertise spans diverse semiconductor categories, from mixed-signal CMOS to photonics, and they are accredited as a Category 1A Trusted Foundry by the Defense Microelectronics Activity (DMEA).


The Morpher ALD tool is designed for precise and controlled deposition of thin material layers, making it suitable for various semiconductor applications. It is configured with two thermal batch chambers, one for depositing metals and another for depositing oxides, allowing for flexibility and efficiency in semiconductor fabrication processes. This tool is being installed at SkyWater Technology to provide their customers with access to ALD capabilities for developing and producing advanced semiconductor technologies.


Monday, September 4, 2023

Netherlands' chip tool export controls take effect for DUV Lithography and ALD

The Netherlands has enacted new export controls on cutting-edge chipmaking technology, joining the US and Japan in regulating these crucial tools. The new move, effective from September 1, targets advanced chip manufacturing equipment used in processes like deep ultraviolet (DUV) lithography and atomic layer deposition (ALD) - an add-on to previous EUV Lithography export restrictions. 

Dutch company ASML, a global leader in chipmaking equipment, will continue shipments of some advanced machines this year, but starting January 1, it expects limited export licenses for systems bound for domestic Chinese customers. 
The Dutch government says it is a matter of the country's security. "We've taken this step on national security grounds," Liesje Schreinemacher, the minister for foreign trade and development cooperation, said of the new rules.
ASM International, a Dutch company, holds a significant position in the ALD market. Renowned for its ALD equipment, ASM International plays a vital role in semiconductor manufacturing by enabling precise and thin material layering on wafers. This technology is essential for advanced chip production, impacting various industries. Its role emphasizes the impact of export controls on crucial semiconductor processes and global technology supply chains.




The regulations, driven by national security concerns, cover tools vital for producing memory chips, processors, and sensors. Chinese chipmakers have reportedly accelerated purchases of equipment ahead of the rules taking effect. China's responses include its own export curbs and efforts to bolster domestic semiconductor capabilities. The situation reflects the evolving landscape of technology export controls and their impact on international supply chains.

Source:

Friday, August 25, 2023

TRION Battery and Forge Nano Partner to Advance Lithium-Ion Battery ALD Tech

TRION Battery Technologies and Forge Nano have teamed up to revolutionize lithium-ion battery performance. This strategic partnership combines Forge Nano's Atomic Layer Deposition (ALD) coating technology with TRION's innovative SX-silicon materials to enhance batteries for aerospace, defense, and other high-demand markets.

TRION Battery Technologies and Forge Nano have signed a Memorandum of Understanding (MoU) to jointly develop lithium-ion battery solutions. This collaboration marks the beginning of a journey toward improved battery performance.

Forge Nano's ALD material coatings have shown significant improvements on various battery electrode materials. The partnership aims to achieve similar breakthroughs by combining these coatings with TRION's SX-silicon materials. TRION's SX-silicon has successfully overcome challenges associated with silicon use in batteries, achieving impressive milestones.

This partnership caters to demanding markets like defense, aerospace, and electric mobility. As batteries become vital in these sectors, the collaboration promises to showcase the strengths of both technologies.

The partnership accelerates TRION's SX-silicon commercialization strategy, reinforcing its value proposition to cell manufacturers. Forge Nano sees the partnership as aligning with their target markets and aims to establish a strong US supply chain.

The MoU outlines joint testing of ALD coatings on TRION's SX-silicon. The partnership aims to extend battery life, improve energy density, and enhance overall safety and efficiency in lithium-ion batteries.

TRION Battery and Forge Nano's partnership is set to reshape lithium-ion battery technology. By combining their expertise and materials, they're on a path to enhance battery capabilities for critical industries. This collaboration demonstrates the potential of synergy in driving technological advancement


TRION Battery Technologies and Forge Nano are poised to revolutionize lithium-ion battery safety and performance through their strategic collaboration. Integrating Forge Nano's advanced Atomic Layer Deposition (ALD) technology, known as Atomic Armor®, with TRION's innovative SX-silicon materials, the partnership aims to enhance battery capabilities for aerospace, defense, and beyond. By creating protective ALD coatings on electrode surfaces, they prevent degradation, improve heat dissipation, and mitigate reactivity during thermal runaway. This innovative approach not only promises higher performance but also addresses critical safety concerns, solidifying their position as pioneers in the realm of advanced battery technology.




Thursday, August 24, 2023

Innovating Coating Technologies: A Spotlight on Swiss Cluster's Advanced ALD Products

In the dynamic landscape of materials science and technology, Swiss Cluster emerges as a pioneering force with its cutting-edge Atomic Layer Deposition (ALD) solutions. Founded by a team of experts hailing from the Swiss Federal Institute for Material Science & Technology (Empa) in Thun and Bern University of Applied Sciences, Swiss Cluster has swiftly carved a niche for itself in the field. The company's commitment to innovation and precision is evident in its diverse range of ALD products, each tailored to meet the demands of various industries and applications.

Swiss Cluster's journey began in 2019, and it officially registered as a company in November 2020. The driving force behind Swiss Cluster's success lies in the collective expertise of its team, which encompasses researchers and engineers specializing in thin films deposition techniques, vacuum and plasma deposition technologies, and materials characterization. This robust foundation has paved the way for the creation of three exceptional ALD products that are reshaping the way coatings are applied to 3D objects.


1. SC Optima Series: Elevating Coating Precision and Efficiency

The SC Optima Series stands as a testament to Swiss Cluster's commitment to innovation and efficiency. Designed as the next generation of large batch systems for ALD, this series embodies the perfect synergy of precision, speed, and uniformity. Boasting a patent-pending chamber, the SC Optima Series can seamlessly adapt to various 3D parts and coating materials. Its exceptional coating homogeneity and record process speeds are achieved through the elimination of traditional barriers like transfer arms, thanks to the single chamber approach. This innovation not only streamlines loading and unloading but also facilitates rapid temperature control, optimizing the entire process from start to finish.

2. SC-1: Redefining Coating Systems with Integration

The SC-1 redefines what's possible in coating technologies by combining ALD with Physical Vapor Deposition (PVD). This groundbreaking modular system eliminates the need for vacuum breaks, minimizing downtime and maximizing throughput. The SC-1's ability to seamlessly integrate ALD and PVD techniques within a compact framework allows for the fabrication of multinanolayered coatings. This approach improves coating quality, stability, and material properties, making it a powerful tool for industries requiring tailored functionalities and properties. The SC-1's flexibility, scalability, and quality interfaces between different layers open doors to novel materials and applications.

3. SC Qube: Precision for Research and Production

For those focused on research, development, and small-scale production, the SC Qube offers an innovative solution. With its ALD batch system, the SC Qube caters to coating various 3D parts. The system's scalable chamber can be configured to fit different types and sizes of objects while delivering exceptional coating homogeneity. The ability to integrate the SC Qube into cleanroom environments or glovebox units, along with its easy front loading and custom-made holders, makes it a versatile choice for various applications. Rapid processing, precision, and adaptability define the SC Qube's contribution to the world of coatings.



Swiss Cluster's trio of ALD products represents a journey of expertise, innovation, and a commitment to enhancing the way materials are coated. From large batch systems to integrated solutions and research-focused offerings, Swiss Cluster's ALD products cater to the unique needs of different industries. As the company continues to push boundaries and refine coating technologies, its impact on diverse sectors, from electronics to medical applications, remains profound. Swiss Cluster's dedication to revolutionizing research and production processes paves the way for novel and better materials that shape our technological future.

Home (swisscluster.com)


Tuesday, August 22, 2023

Beneq invites you to ALD TechDay during SEMICON Europa 2023

Beneq invites you to ALD TechDay during SEMICON Europa 2023 in Munich this November! Discover the latest trends and applications in Atomic Layer Deposition (ALD) for specialty semiconductors. Industry leaders and experts will share insights on ALD adoption and its impact.

Don't miss this exclusive forum brought to you by SEMI and Beneq. Register now!




ASM International Unveils Cutting-Edge Training Center in Tainan, Taiwan

Dutch semiconductor equipment leader ASM International NV has established a pioneering training center at the Southern Taiwan Science Park's Tainan branch to offer intensive training for over 100 engineers employed by its clients. This newly inaugurated facility is ASM's first training center in Taiwan and signifies a significant step in enhancing semiconductor expertise. With more than 50 percent global market share in atomic layer deposition (ALD), ASM's training center introduction aims to harness virtual reality technology for hands-on learning and precision semiconductor equipment mastery. The center is poised to propel innovation and foster synergies between Taiwan and the Netherlands, two pivotal players in the semiconductor industry.


The training center will leverage cutting-edge virtual reality technologies, allowing trainees to transcend conventional learning boundaries. The utilization of online courses coupled with virtual reality tools will empower engineers to grasp the intricate aspects of precision semiconductor equipment more effectively.

Guido Tielman, head of the Netherlands Office Taipei, highlighted the strategic collaboration between the Netherlands and Taiwan in shaping the global semiconductor landscape. He underscored the significance of this training center in fortifying ties and harnessing the immense business opportunities presented by the dynamic semiconductor sector.

This venture aligns with Taiwan's thriving semiconductor ecosystem, further enriched by the presence of other industry giants like ASML Holding NV, renowned for its extreme ultraviolet lithography (EUV) photolithography machines. The Netherlands, Taiwan, and ASM International are collectively poised to leverage their expertise to excel in the competitive global semiconductor market.

ASM International's state-of-the-art training center marks a pivotal moment in the advancement of semiconductor knowledge and technology. This strategic collaboration between the Netherlands and Taiwan is set to drive innovation, propel semiconductor advancements, and foster the development of highly skilled engineers, amplifying the capabilities of the global semiconductor industry.

Dutch IC equipment supplier opens training center in Tainan - Focus Taiwan

Tokyo Electron's Q1 2024 Earnings Call Unveils Resilient Performance and Strategic Focus

Tokyo Electron Limited (OTCPK: TOELF), a prominent semiconductor equipment manufacturer, recently held its Q1 2024 Earnings Conference Call, revealing a resilient financial performance and strategic initiatives. Key representatives including Toshiki Kawai (CEO) and Hiroshi Kawamoto (Finance Division GM) presented the company's consolidated financial summary and insights into the business environment.

Financial Highlights:
- Q1 2024 sales reached ¥391.7 billion, showing a 29.8% decline due to reduced customer WFE spending.
- Gross profit at ¥162.3 billion and operating income at ¥82.4 billion represented drops of 35.5% and 46.0% from the prior quarter, respectively.
- Tokyo Electron invested in R&D, allocating ¥43.6 billion, while capital expenditures amounted to ¥39.3 billion, reflecting its commitment to innovation and expansion.

Market Outlook:
- Tokyo Electron discussed the WFE market's projected growth to $200 billion within 2024-2025.
- The company foresees opportunities in server, leading-edge CPU, DRAM, NAND, GPU for AI, HBM, power semiconductors for EV, and more.

Fiscal 2024 Estimates:
- Financial estimates remain unchanged, with ¥580 billion and ¥690 billion projected for the first and second halves of FY 2024, respectively, in SPE new equipment sales.
- Tokyo Electron aims for record-high R&D investment of ¥200 billion and CapEx of ¥124 billion to align with growth projections.

Share Repurchase and Dividend:
- Tokyo Electron's ongoing share repurchase program bought 3,069,200 shares worth ¥60.9 billion by July 31.
- The company plans to continue repurchase up to ¥120 billion by December 31, 2023.
- A consistent dividend forecast maintains a full-year dividend per share of ¥320.

Tokyo Electron's Q1 2024 Earnings Call provided a comprehensive overview of its robust performance and strategic direction, positioning the company to capitalize on growth opportunities in the semiconductor sector.


ALD Reactor Evolution: Tracing Milestones from Suntola to Today's Technological Landscape


The short but impactful 50-year history of Atomic Layer Deposition (ALD) has been a subject of extensive research and documentation, particularly in recent years. Examining the technology's evolution through an equipment perspective reveals the crucial role played by innovative equipment design in shaping the ALD ecosystem we have today. This ALDeep Dive episode embarks on a journey through the evolution of ALD reactors, highlighting key milestones and developments.


Episode Highlights:

**00:00 - Intro:** An introduction to the ALD technology and its rapid development over the past 50 years.

**01:33 - Suntola’s 1st Reactor:** Delving into Tuomo Suntola's original ALD reactor and its significance in kickstarting the technology's journey.

**03:15 - Suntola’s Patented Configurations:** Exploring the patented configurations of ALD reactors, including the technological advancements they brought about.

**07:38 - The First Commercial Reactor:** Reflecting on the emergence of the first commercial ALD reactor and its role in driving the technology towards industrial applications.

**10:50 - Plasma, Please:** Examining the introduction of plasma into ALD reactors and how it enhanced film deposition processes.

**15:20 - Powder ALD Reactors:** Investigating the utilization of powder-based ALD reactors, which added a new dimension to thin film deposition.

**20:37 - Reintroduction of Spatial ALD:** Discussing the reintroduction of spatial ALD and its implications for achieving precise and uniform coatings.

**24:10 - Today’s ALD Tool Landscape:** Taking a look at the current landscape of ALD tools, showcasing the advancements and variety available today.

**25:43 - Concluding Thoughts:** Summing up the journey through ALD reactor evolution and emphasizing the integral role of equipment innovation in ALD's remarkable growth.

**Key Papers & Patents:**

- "Chemical Vapor Deposition," 2014, 20, 10-11-12, 332-344

- T. Suntola, J. Antson, International patent, FIN 52359, US 4 058 430

- T. Suntola, A. Pakkala, S. Lindfors, International patent, FIN 57975, US 4 413 022

- "Journal of Vacuum Science & Technology A," Vol.29, No.5, Sep/Oct 2011

- "Journal of Vacuum Science & Technology A" 25 (5) Sep/Oct 2007

- "Applied Physics Letters" 92, 192101 (2008)

- "Journal of Vacuum Science & Technology A" 30, 021502 (2012)


This insightful ALDeep Dive episode traces the evolutionary path of ALD reactors, acknowledging their critical contribution to the ALD technology we know today. From Suntola's pioneering work to the latest advancements, the journey reveals the interconnectedness of equipment innovation and ALD's remarkable progress.

Applied Materials Surges on Impressive Q3 Earnings and Bullish Guidance

Applied Materials Inc. (NASDAQ: AMAT) witnessed a robust surge of nearly 4% in after-hours trading following the release of its third-quarter financial results that significantly outperformed expectations. The semiconductor capital equipment manufacturer reported adjusted earnings of $1.90 per share on $6.43 billion in revenue for the quarter ending July 30. 

Notably, the company's strategic focus on foundry and logic revenue paid off, accounting for 79% of quarterly sales compared to 66% in the prior year's quarter. Additionally, Applied Materials generated a substantial $2.58 billion in cash from operations during this period. The company's bullish performance extended to its outlook, with fourth-quarter net sales projected at approximately $6.51 billion, accompanied by adjusted earnings per share ranging from $1.82 to $2.18. These figures comfortably exceeded analyst estimates, highlighting Applied Materials' robust position in the semiconductor industry.


Applied Materials, Inc. (AMAT) Q3 2023 Earnings Call TranscriptSA TranscriptsThu, Aug. 17

Applied Materials, Inc. 2023 Q3 - Results - Earnings Call PresentationSA TranscriptsThu, Aug. 17

ASM International N.V. has completed the purchase of a strategic plot of land in Scottsdale, Arizona.

ASM International N.V. has completed the purchase of a strategic plot of land in Scottsdale, Arizona. This move is a significant step towards consolidating ASM's multiple sites in Arizona, positioning the company for streamlined operations and future expansion in R&D and support activities.

The decision aligns perfectly with ASM's Growth through Innovation strategy, reflecting the company's commitment to adapting and evolving in the dynamic semiconductor landscape. While specific financial details remain undisclosed, this investment contributes to ASM's targeted €150-200 million total group capex for 2023, as communicated earlier this year.

ASM International N.V., headquartered in Almere, the Netherlands, is a key player in semiconductor equipment and process solutions. With facilities spanning across the United States, Europe, and Asia, ASM International is driving innovation and growth in the industry.

For more insights, visit ASM's official website: www.asm.com

Monday, July 17, 2023

Oxford Instruments Reveals Advanced ALD Research System for Quantum Applications

Oxford Instruments, a UK-based company, has introduced the PlasmaPro ASP, a breakthrough addition to the Atomfab product line, set to redefine atomic layer deposition (ALD) research. The system features an exclusive remote-plasma source design, optimized chamber geometry, and wafer stage bias, resulting in a remarkable threefold increase in ALD deposition rates—a milestone for research efficiency.
“We have experienced high pre-launch interest from the market for the PlasmaPro ASP for superconducting quantum materials. The PlasmaPro ASP is designed to address key quantum challenges with an innovative high-rate source design and high machine up-time, as well as an intuitive software user interface called PTIQ."

“With the source design derived from our Atomfab® ALD production product, the PlasmaPro ASP retains process speed and robustness, with the inclusion of additional hardware to increase flexibility, which offers a unique solution to the quantum market to speed up development cycles.” Dr Russ Renzas, Quantum Technologies Market Manager, Oxford Instruments Plasma Technology, Americas.

The collaboration with Eindhoven University of Technology (TU/e) on the plasma source design yielded accolades, with their joint research paper receiving recognition at the American Vacuum Society’s 22nd International Conference on Atomic Layer Deposition (AVS ALD/ALE 2022) conference.


With a primary focus on quantum technology, the PlasmaPro ASP facilitates the creation of essential low-resistivity and high-Tc superconducting nitride films. The system's intuitive software interface, PTIQ, along with its adaptable source design from Oxford Instruments' Atomfab ALD production product, ensures both rapid processing and robustness.

Anticipation for the PlasmaPro ASP's launch, especially for its potential in superconducting quantum materials, underscores the imminent impact of this innovation. Collaborative efforts with TU/e will likely accelerate advancements in quantum technology and various applications like electronics and photonics.

Professor Erwin Kessels of TU/e praises the PlasmaPro ASP for its distinctive capabilities, set to invigorate diverse research and innovation prospects.

Breakthrough Ultra-fast ALD Product - Oxford Instruments (oxinst.com)