Friday, June 30, 2023

Intel Takes Strategic Steps to Regain Semiconductor Chip Leadership

Intel plans to separate its manufacturing and fabless units to regain its semiconductor chip leadership. The move aims to serve emerging markets and make chip manufacturing more efficient. Intel seeks to emulate TSMC's success and become the second-largest external foundry by 2030.

In an effort to reclaim its position as a leader in the semiconductor chip industry, Intel has announced plans to separate its manufacturing and fabless units. This strategic move aims to address evolving market dynamics and capitalize on emerging sectors such as cloud computing, edge computing, and artificial intelligence (AI). By granting independence to its foundry business and diversifying its chip production, Intel aims to regain its competitive edge and accelerate chip development.



Diversifying into New Markets

Intel's factories have traditionally focused on serving the PC and server markets, but the company recognizes the need to adapt to the changing landscape. By separating fabless and manufacturing operations, Intel can now cater to a broader customer base, including external clients. The new fabs, set to be operational by early 2024, will manufacture chips for non-Intel customers, making Intel a potential competitor to contract chip manufacturers like TSMC.

Emulating the TSMC Playbook

Intel's strategy shares similarities with Taiwan Semiconductor Manufacturing Co. (TSMC), which has successfully produced chips for companies like Nvidia, Apple, and AMD. TSMC's approach of guaranteeing capacity to long-term partners during the recent chip shortage has proven effective. Intel aims to replicate this success by becoming the second-largest external foundry by 2030 and generating more than $20 billion in manufacturing revenue.

Competing for Internal Fab Capacity

The separation of fabless and manufacturing units introduces a new dynamic within Intel. Internal chip design units will now compete with external customers for fab capacity, potentially accelerating Intel's internal chip design efforts. The competition for volume will drive efficiency and faster innovation, as internal business units can leverage third-party foundries if they are willing to pay top dollar for guaranteed capacity.

Reviving Manufacturing Prowess

Intel's ability to deliver chips on time has been a key challenge, allowing TSMC to emerge as a leader in the industry. However, Intel aims to regain its position by focusing on advanced nodes such as the Intel 18A process, which incorporates cutting-edge technologies like gate-all-around (GAA) transistors. By emphasizing more efficient manufacturing processes and performance improvements, Intel intends to win back customers and regain its reputation as a reliable chip manufacturer.

Intel is expanding as a foundry in Europe

Intel's expansion plans in Europe took a significant step forward as the company signed a deal with the German government to build a €30 billion chip manufacturing site in Magdeburg. Germany will cover a third of the investment, marking the largest foreign direct investment in the country's modern history. The agreement was signed during a meeting between German Chancellor Olaf Scholz and Intel CEO Pat Gelsinger in Berlin. The investment will significantly expand Intel's production capacity in Europe and is seen as a crucial strategic move for Germany and Europe to establish self-sufficiency in strategic technologies. The project, known as the "Silicon Junction," is expected to create 3,000 high-quality jobs and additional positions in supplier networks. The EU's executive branch will review the plan to ensure fair competition. With this expansion, Germany aims to become one of the major global semiconductor production sites and reduce its dependence on imported chips and global supply chains. The completion of the twin semiconductor plants is expected by 2027 and will contribute to the EU's goal of decreasing reliance on China and the US for microchip production.

Conclusion

Intel's decision to separate its manufacturing and fabless units marks a strategic shift aimed at regaining its leadership in the semiconductor chip industry. By diversifying into emerging markets, emulating successful models like TSMC's, and focusing on advanced manufacturing processes, Intel hopes to reclaim its competitive edge and position itself as a leading player in the evolving landscape of chip manufacturing.

Source: 

U.S. and Netherlands Tighten Restrictions on Chipmaking Equipment Sales to China, Impacting ALD and ASM International

The United States and the Netherlands are set to impose stricter restrictions on the sale of chipmaking equipment to China, aiming to prevent the use of foreign technology for military strengthening. In their efforts to curb China's access to advanced semiconductor technology, the Dutch government plans to restrict equipment from ASML, the leading chip equipment maker in the Netherlands, while the U.S. plans to further withhold Dutch equipment from specific Chinese fabs. These measures will impact atomic layer deposition (ALD) firm ASM International as well.


Besides ASM and Lithography, ASM International and ALD is of national interest to The Netherlands. During the recent Royal State Visit of King Willem-Alexander and Queen Máxima of the Netherlands to imec, ASM, a long-standing partner of imec, was in attendance. With over 30 years of partnership, ASM has made significant investments in research and development and maintains a substantial on-site team at imec known in the industry as ASM B or ASM Belgium. During the visit, ASM had the opportunity to highlight its role in the semiconductor ecosystem of both the Netherlands and Belgium, emphasizing how this collaboration connects Europe to advanced semiconductor manufacturing activities on a global scale. (Source: ASM LinkedIn)

ASML, Europe's largest chip equipment company, dominates in lithography, a crucial step in the chip manufacturing process. The Dutch government intends to announce new regulations, including a licensing requirement, for ASML's deep ultraviolet (DUV) semiconductor equipment. ASML's more sophisticated extreme ultraviolet (EUV) lithography machines are already restricted and have never been shipped to China. The U.S. is expected to identify specific Chinese facilities, possibly including those operated by SMIC, China's largest chipmaker, in a new rule that restricts foreign equipment containing any U.S. parts. ASM International, an ALD firm, is also likely to be impacted by the new Dutch regulations.

The U.S. and Dutch measures aim to prevent China from gaining access to advanced chipmaking technology that could be used for military purposes. These actions reflect the ongoing tensions between the U.S. and China regarding national security concerns and technological competition. While the exact details and timing of the restrictions may change, the increasing limitations on chipmaking equipment sales are expected to have significant implications for the global semiconductor industry and the supply chain dynamics in the coming months.

Sources:

US, Dutch set to hit China's chipmakers with one-two punch | Daily Mail Online

State visit to Belgium – programme | News item | Royal House of the Netherlands (royal-house.nl)


Thursday, June 29, 2023

Revolutionizing Fuel Cell Catalysts: Enhanced Durability and Performance with Platinum-Modified Tungsten Oxide Support

Breakthrough Study Utilizes Atomic Layer Deposition and Ar Plasma Treatment for Highly Robust Anode Catalysts in Polymer Electrolyte Membrane Fuel Cells

Key Findings:

1. Tungsten oxide (WO3) supported catalysts, enhanced through Ar plasma surface treatment and Pt nanoparticle deposition using atomic layer deposition (ALD), demonstrated significantly improved durability in diverse operating conditions compared to commercial Pt/C catalysts.

2. The use of WO3 as a catalyst support material, coupled with ALD-based Pt nanoparticle deposition, offers a promising approach for developing high-performance anode catalysts for polymer electrolyte membrane fuel cells (PEMFCs) with enhanced stability and performance.

In an article titled "Atomic layer deposited platinum on tungsten oxide support as high-performance hybrid catalysts for polymer electrolyte membrane fuel cells" Korean researchers discuss the development of a robust anode catalyst for polymer electrolyte membrane fuel cells (PEMFCs). The researchers aimed to address the performance degradation and carbon support corrosion issues commonly observed in PEMFCs under harsh operating conditions.


Graphical abstract

The study focused on using tungsten oxide (WO3) as a catalyst support material due to its ability to provide additional hydrogen ions and electrons through the decomposition of tungsten bronze (HxWO3) formed by the hydrogen spillover effect. The presence of HxWO3 also helped stabilize the cell potential by scavenging oxygen that infiltrates into the anode during start-up and shut-down situations. However, the low electrical conductivity of metal oxides can lead to initial performance degradation.

To overcome this limitation, the researchers performed Ar plasma surface treatment on the WO3 layer to enhance its electrical conductivity. This treatment, known as P-WO3, increased the density of electrons, enabling n-doped conduction. Next, platinum (Pt) nanoparticles were deposited on the P-WO3 support using atomic layer deposition (ALD). ALD allowed for the controlled deposition of Pt at the nanoscale, maximizing the catalytic activity with a minimal amount of precious metal.

The resulting Pt/P-WO3 catalyst exhibited significantly enhanced durability compared to commercial Pt/C catalysts under diverse operating conditions. It demonstrated improved performance and acted as a reversal-tolerant anode catalyst. The study highlights the potential of using WO3 as a support material and the effectiveness of the proposed fabrication method in developing high-performance catalysts for PEMFCs.

Overall, the article presents a novel approach to address the challenges associated with catalyst performance and carbon support corrosion in PEMFCs. By utilizing WO3 as a support material and incorporating Pt nanoparticles through ALD, the researchers achieved an improved and durable anode catalyst for PEMFCs.

The academic institutions behind the article are:

1. Department of Automotive Convergence, Korea University, Republic of Korea.

2. School of Mechanical Engineering, Korea University, Republic of Korea.

Source: Atomic layer deposited platinum on tungsten oxide support as high performance hybrid catalysts for polymer electrolyte membrane fuel cells - ScienceDirect

Wednesday, June 28, 2023

New Reconfigurable Logic System Achieved with Heterojunction Transistors using ALD

Korean scientists have created a new kind of logic system using special transistors called H-MTR (Link). These transistors can control negative transconductance (NTC) characteristics, allowing for precise logic operations. By programming the transistors, they can switch between different transfer curves, making them highly versatile.

Using the H-MTR transistors, researchers developed a reconfigurable logic inverter called R-inverter (Link). This inverter performed exceptionally well, with a high static noise margin of 85% for binary logic and 59% for ternary logic. It also showed long-term stability and endurance. This reconfigurable logic system opens up new possibilities for computing methods and can generate different output sequences for the same input signal.

The study also demonstrated a dynamic logic conversion-in-memory by connecting a chain of R-inverters. This method allowed for the generation of three different output sequences using a single input signal. This innovative approach to logic computing has never been seen before. The development of this reconfigurable logic system has great potential for applications in artificial intelligence, integrated circuits, and personalized IoT devices. It addresses the need for compatibility and improved information processing capabilities.

One of the key technologies employed in the development of these reconfigurable logic devices is Atomic Layer Deposition. ALD is a precise thin-film deposition technique that allows for the controlled deposition of atomic layers onto a substrate. In the case of the H-MTR transistors, ALD was used to create the necessary heterojunctions and floating gates with high precision. This enables the fine-tuning of the transistor characteristics and ensures reliable performance. The use of ALD in fabricating these devices highlights the significance of advanced manufacturing techniques in pushing the boundaries of electronic systems and opens up possibilities for further advancements in the field of reconfigurable logic.



A reconfigurable binary/ternary logic conversion-in-memory based on drain-aligned floating-gate heterojunction transistors

Monday, June 19, 2023

Revolutionary Study Unveils Enhanced Uniformity and Selectivity in TiO2 Films for Nanoelectronics Manufacturing

Researchers Achieve 2× Improvement in TiO2 Film Thickness and Pattern-dependent Uniformity in 45 nm Half-pitch Patterns

In a groundbreaking study, researchers have made significant advancements in the area-selective deposition (ASD) of TiO2 films, bringing unprecedented uniformity and selectivity to nanoelectronics manufacturing. The research, led by Rachel A. Nye and her team at imec, KU Leuven, and North Carolina University, demonstrates the successful implementation of passivation + deposition + etch supercycle process in industrially relevant 45 nm half-pitch patterns.




By leveraging the unique capabilities of the DMA-TMS inhibitor, the researchers achieved remarkable results. The TiO2 atomic layer deposition (ALD) process yielded a 2× improvement in film thickness, depositing approximately 8 nm of TiO2 with 88% uniformity and 100% selectivity on SiO2/TiN line/space patterns. Moreover, the study revealed lower defectivity on pattern sidewalls, top surfaces, and corners compared to previous reports.

A key finding was pattern-dependent uniformity, emphasizing the significance of understanding and optimizing processes at specific feature scales. As feature sizes continue to shrink, the researchers anticipate further improvements in uniformity. The study also highlighted the importance of refining passivation, deposition, and etch parameters for enhanced selectivity and uniformity control.

The research opens doors to a wide range of applications for TiO2 thin films in nanoelectronics, including antireflection coatings, sensors, photocatalysts, and etch-resistant layers. The study provides valuable insights into the quantification of uniformity and selectivity in nanoscale patterns, serving as a benchmark for future advancements in nanoscale ASD. The results have significant implications for the design and fabrication of electronic devices on an industrial scale.

Tuesday, June 13, 2023

EUV Lithography Embraces Sustainability with Hydrogen Recycling System

Edwards Vacuum and Imec Develop Reverse Fuel Cell to Recycle Contaminated Hydrogen in Chip Manufacturing

The semiconductor industry relies heavily on extreme ultraviolet (EUV) lithography systems to increase transistor density. These systems use large amounts of hydrogen to sweep away contaminants and maintain the cleanliness of their optics. Currently, the contaminated hydrogen is burned to form water, requiring a constant supply of new hydrogen. However, this process contributes to carbon emissions as most hydrogen is produced from natural gas using steam processing.
“It’s similar to a fuel cell, in reverse.”—Anthony Keen, Edwards Vacuum
To address this issue, engineers at Edwards, a vacuum systems firm based in England, have developed a hydrogen recovery system that can recycle up to 80 percent of the gas. The system functions similarly to a fuel cell but in reverse. The contaminated hydrogen is mixed with moisture and nitrogen, ionized, and then forced through a proton-exchange membrane using an electric field. On the other side of the membrane, the protons recombine with electrons to form pure hydrogen, while contaminants and water remain on the other side and can be disposed of properly. The recovered hydrogen can then be sent back to the EUV lithography system.



Edwards collaborated with Imec, a research and innovation hub for nanoelectronics and digital technologies, to test the recovery system. The tests conducted on Imec's silicon pilot line demonstrated that the system recovered 70 to 80 percent of the hydrogen and resulted in a net reduction in energy consumption.

The implementation of this hydrogen recovery system in the semiconductor industry could help lower the environmental footprint of EUV lithography systems and contribute to reducing the carbon emissions associated with chip manufacturing. The semiconductor industry has been striving to reduce its carbon footprint, with estimates suggesting it could account for 3 percent of global emissions by 2040. Edwards will need to make a case to top chipmakers, such as Intel, Samsung, and TSMC, to adopt this green technology and further promote sustainability in chip production.

Sources: 

Global 300mm Fab Equipment Spending to Reach Record $119 Billion in 2026, Fueled by Strong Demand for High-Performance Computing and Automotive Applications

 Korea Leads the Way, Foundry and Memory Sectors Drive Expansion

  • Global 300mm fab equipment spending is projected to reach a record high of $119 billion in 2026, driven by strong demand for high-performance computing, automotive applications, and improved memory requirements.
  • Korea is expected to lead global 300mm fab equipment spending in 2026, followed by Taiwan, China, and the Americas, with the foundry and memory sectors playing significant roles in the expansion.
The global 300mm fab equipment spending is expected to soar to an unprecedented level of $119 billion by 2026, after experiencing a temporary decline in 2023. This remarkable growth is primarily attributed to the surging demand for high-performance computing and automotive applications, coupled with an overall improvement in the demand for memory.



The foundry and memory sectors are poised to be major catalysts in this expansion, signaling a widespread need for semiconductor chips across various end markets and applications. These sectors will drive significant investment in 300mm fab equipment, ensuring the industry's capacity to meet the escalating demand.

In terms of regional spending, Korea is anticipated to lead the global 300mm fab equipment market in 2026. With its well-established semiconductor industry and technological prowess, Korea is well-positioned to capitalize on the increasing demand for chips. Following closely behind Korea, Taiwan, China, and the Americas are expected to contribute substantially to the global spending.

Among the different segments, the foundry sector is projected to witness the highest equipment spending. As the demand for custom-designed chips continues to surge, foundries will invest heavily in advanced manufacturing equipment to meet the diverse needs of their customers. The memory sector is also set to experience robust equipment spending, driven by the growing demand for high-capacity memory solutions in various applications, including data centers, artificial intelligence, and Internet of Things (IoT) devices.

While the foundry and memory sectors thrive, other segments may face modest growth or even decline. This suggests a shifting landscape within the semiconductor industry, with specific sectors driving the overall growth while others adapt to changing market dynamics.

In conclusion, the global 300mm fab equipment spending is poised to reach unprecedented heights in 2026, driven by the strong demand for high-performance computing, automotive applications, and improved memory requirements. Korea is expected to lead the global spending, with the foundry and memory sectors playing pivotal roles in the expansion. This remarkable growth underscores the critical importance of semiconductor chips across a wide range of industries and highlights the need for substantial investment in advanced manufacturing capabilities to meet future demands.

Monday, June 12, 2023

Merck Showcases Expertise in Thin Film Deposition and Atomic Layer Etching at AVS ALD 2023 Conference

Leading scientists and engineers from MERCK present papers on advanced materials and semiconductor processing techniques at AVS ALD/ALE 2023.

Merck, a global science and technology company, is set to participate as a sponsor in the 23rd International Conference on Atomic Layer (ALD/ALE) 2023 organized by the Association for Science and Technology of Materials, Interfaces & Processing (AVS). The conference focuses on the science and technology of atomic layer-controlled deposition of thin films and related topics such as atomic layer etching.

Merck's leading engineers and experts will present their research papers at the conference, covering a wide range of cutting-edge topics. Thong Ngo, a Senior Process Engineer, will discuss the synthesis of 2D MoSe2 by atomic layer deposition on a wafer scale. Randall Higuchi, a Process Engineer, will present an evaluation of Zr and Hf precursors with higher thermal stability for atomic layer deposition of ZrO2 and HfO2 films.

Ravi Kanjolia, a Technology Fellow at Merck, will explore the reverse templating effects of low-resistivity Ru Ald on sputtered Ru, while Haripin Chandra, a Senior R&D Manager, will discuss the properties of VHF PEALD silicon nitride film deposited by precursors with different amino ligands. Martin McBriarty, a Materials Scientist, will present on thermal ALE reactants for semiconductor processing, and Ravi Kanjolia will also discuss crystalline gallium nitride deposition on SiO2/Si by RF-biased atomic layer annealing.


Furthermore, Haripin Chandra will participate in the Emerging Materials Session, focusing on EUV lithography materials. Merck's experts will offer valuable insights and share their expertise with the conference attendees, contributing to the advancement of thin film deposition and atomic layer-controlled processes.


Merck's presence at AVS ALD 2023 demonstrates the company's commitment to advancing technology and providing innovative materials solutions. Attendees will have the opportunity to connect with Merck's experts on-site for personalized advice and support. The conference, being held from July 23 to July 26, 2023, in Bellevue, Washington, promises to facilitate knowledge exchange and foster collaborations among scientists and industry professionals in the field of atomic layer-controlled deposition.

Source: The 23rd International Conference on Atomic Layer (ALD/ALE) 2023 | Merck (merckgroup.com)

Black Ultra-Thin Crystalline Silicon Wafers Achieve Maximum Absorption Limit for Improved Solar Cell Efficiency

State-of-the-art black silicon nanotexture enables ultra-thin silicon photovoltaics with enhanced light trapping and improved performance.

Finnish and Spanish researchers have made a breakthrough in the development of ultra-thin crystalline silicon wafers for solar cells by reaching the maximum theoretical absorption limit using advanced black silicon nanotexture. The achievement not only addresses the challenge of maintaining high absorption in thin wafers but also offers significant cost reductions in the photovoltaic industry. The study demonstrates that wafer thicknesses as low as 10 µm can achieve ideal light trapping.


a) Measured absorption of thin silicon wafers (10, 20, and 40 µm nominal thickness) with polished surfaces (orange) and with black silicon texture etched on the front side (blue). Solid and dashed lines represent absorption with and without back a reflector, respectively. The dotted line corresponds to Yablonovitch's 4n2 absorption limit. b) Scanning electron microscope (SEM) image, bird's eye view, of the black silicon nanotexture obtained by DRIE. The scale bar represents 1 µm. c) A free-standing 10µm-thick black silicon wafer, where its high flexibility can be appreciated. d,e) Top view of two 10 µm wafers: d) textured with black silicon and e) out-of-the-box with polished surfaces.

Reducing wafer thickness is a key strategy for cutting costs in the crystalline silicon photovoltaic industry. Thinner wafers significantly reduce substrate-related expenses. However, the weak absorption of silicon at long wavelengths poses a challenge when reducing wafer thickness. To overcome this, the researchers employed black silicon nanotexture, generated through deep reactive ion etching (DRIE) at cryogenic temperatures. The nanotexture allows for better light management and extends the optical path through internal dispersion and scattering, thus improving photon absorption.

The study also includes the implementation of black silicon nanotexture in an interdigitated back-contacted (IBC) solar cell. The proof-of-concept cell, encapsulated in glass, achieved an impressive 16.4% efficiency, representing a 43% increase in output power compared to a reference polished cell. The results highlight the potential of black silicon nanotexture for future ultra-thin silicon photovoltaics, offering both economic savings and improved cell efficiency.

Conventional techniques like chemical texturization through random pyramids and advanced nanopatterning methods have limitations in terms of material consumption, surface damage, and cost. Black silicon nanotexture produced through cryogenic DRIE offers several advantages, including minimal silicon consumption, low surface recombination, and compatibility with high-efficiency IBC solar cell structures. The researchers successfully applied black silicon nanotexture to ultra-thin monocrystalline substrates, demonstrating its potential for mass-produced ultra-thin crystalline silicon photovoltaics.

This study contributes to the ongoing efforts to make solar energy more cost-effective and efficient. The use of black silicon nanotexture in ultra-thin silicon wafers opens up new possibilities for next-generation solar cell technologies, paving the way for widespread adoption of renewable energy solutions. 

Source: 

Black Ultra-Thin Crystalline Silicon Wafers Reach the 4n2 Absorption Limit–Application to IBC Solar Cells

First published: 31 May 2023
 

Black Ultra‐Thin Crystalline Silicon Wafers Reach the 4n2 Absorption Limit–Application to IBC Solar Cells - Garín - Small - Wiley Online Library

Tokyo Electron Introduces Breakthrough Memory Channel Hole Etch Technology for 3D NAND Flash, Reducing Global Warming Potential by 84%

Tokyo Electron's Innovative Etch Process Enables Ultra-fast 10-µm-deep Etching for 3D NAND Flash with Over 400 Layers, Showcased at Symposium on VLSI Technology and Circuits

Tokyo Electron (TEL) has achieved a significant technological milestone by developing a cutting-edge etch technology capable of creating memory channel holes in advanced 3D NAND devices with over 400 layers. This breakthrough process, pioneered by the development team at Tokyo Electron Miyagi, leverages cryogenic temperatures to achieve exceptionally high etch rates, marking the first time dielectric etch application has been utilized in this temperature range.

The groundbreaking technology not only enables the etching of memory channel holes up to 10 µm deep with a high aspect ratio in just 33 minutes but also boasts an impressive 84% reduction in global warming potential compared to previous methods. The etched structure exhibits well-defined geometry, as demonstrated by cross-section SEM and FIB cut images.




Cross section SEM image of memory channel hole pattern after etching, and FIB cut image at the hole bottom.

TEL's research team behind this groundbreaking technology will present their findings at the prestigious 2023 Symposium on VLSI Technology and Circuits, a renowned international conference on semiconductor research. Their contribution showcases the potential for even larger capacity 3D NAND flash memory.

The presentation, titled "Beyond 10 µm Depth Ultra-High Speed Etch Process with 84% Lower Carbon Footprint for Memory Channel Hole of 3D NAND Flash over 400 Layers," will be delivered by Y. Kihara, M. Tomura, W. Sakamoto, M. Honda, and M. Kojima from Tokyo Electron Miyagi Ltd. The session, scheduled for Tuesday, June 13, from 2 p.m. to 3:40 p.m., will take place in the NAND Flash section of the Technology Session 3 [Shunju II].

For detailed event information about the 2023 Symposium on VLSI Technology and Circuits, please click here. Stay tuned for TEL's technology presentation, which combines semiconductor advancements and environmental protection efforts. 2023 Symposium on VLSI Technology and Circuits

Source: Tokyo Electron Develops Memory Channel Hole Etch Technology That Enables Ultra-fast 10-µm-deep Etching for 3D NAND Flash with Over 400 Layers and an 84% Reduction of Global Warming Potential | News Room | Tokyo Electron Ltd. (tel.com)

Sunday, June 11, 2023

Atomic Layer Deposition: Revolutionizing Battery Performance with Nanotech Precision

The ALD Process Offers Promising Solutions for Extended Battery Life and Enhanced Stability

In recent years, the demand for high-performance batteries has soared due to the growth of electric vehicles, renewable energy systems, and portable electronic devices. To meet these demands, researchers have turned to atomic layer deposition (ALD), a nanotechnology-based process that enables precise control of thin film materials at the atomic scale. ALD has shown great promise in boosting battery life and improving stability.

One of the major challenges in battery development is maintaining the structural integrity of electrodes during charge and discharge cycles. ALD addresses this by creating protective coatings on electrode materials, such as alumina or titania. These coatings prevent unwanted reactions and stabilize the solid electrolyte interphase (SEI), improving cycling stability.

ALD also improves electrolyte performance by creating hybrid organic-inorganic electrolytes with enhanced ionic conductivity and thermal stability. These electrolytes offer potential for safer and more efficient batteries, especially in high-temperature applications. Additionally, ALD enables the fabrication of advanced electrode materials with tailored nanostructures, boosting electrochemical performance.

Full article: Atomic Layer Deposition: The Nanotech Boost for Battery Life - EnergyPortal.eu



Thursday, June 8, 2023

Global semiconductor equipment billings rise 9% YoY to $26.8B in Q1 2023. Taiwan up 42%, China down 8%, North America soars 51%. Strong AI and automotive investments.

US Fab expansion kick in - The global semiconductor equipment billings rose 9% YoY to $26.8B in Q1 2023, despite challenging conditions. Taiwan saw 42% growth, while China dropped 8%. North America surged by 51%. Long-term investments for AI and automotive remain strong.


As an excerpt from a news release by SEMI - here are some key points from the report:

Global Semiconductor Equipment Billings - In the first quarter of 2023, global semiconductor equipment billings reached US$26.8 billion, representing a 9% increase compared to the same period in the previous year. However, billings slipped 3% when compared to the previous quarter.

Regional Billings

The data provided breaks down the billings by region and shows the quarter-over-quarter and year-over-year changes. Here are some notable regional highlights:Taiwan: Billings of $6.93 billion, with a 13% decrease compared to the previous quarter but a significant 42% increase year-over-year.

  • China: Billings of $5.86 billion, reflecting an 8% decrease quarter-over-quarter and a 23% decrease year-over-year.
  • Korea: Billings of $5.62 billion, showing a slight 3% decrease quarter-over-quarter but a positive 9% increase year-over-year.
  • North America: Billings of $3.93 billion, indicating a substantial 51% increase quarter-over-quarter and a significant 50% increase year-over-year.
  • Japan: Billings of $1.90 billion, with a 16% decrease quarter-over-quarter but no change year-over-year.
  • Europe: Billings of $1.52 billion, reflecting a 4% increase quarter-over-quarter and a 19% increase year-over-year.
  • Rest of World: Billings of $1.06 billion, experiencing a 20% decrease quarter-over-quarter and an 18% decrease year-over-year.
Market Outlook: Despite macroeconomic challenges and a difficult industry environment, semiconductor equipment revenue in the first quarter was robust. The long-term strategic investments needed to support major technology advancements for applications like AI (Artificial Intelligence) and automotive remain healthy.

SEMI Equipment Market Data Subscription (EMDS): SEMI offers a subscription service providing comprehensive market data for the global semiconductor equipment market. It includes monthly reports on equipment market trends, semiconductor equipment billings by region and market segments, and an outlook for the semiconductor equipment market.

https://semi.org/en/news-media-press-releases/semi-press-releases/q1-2023-global-semiconductor-equipment-billings-grow-9%25-year-over-year-semi-reports

Wednesday, June 7, 2023

US company Forge Nano raised US$50 M with Korea's Hanwha Corporate Venture Capital for Battery Pilot Line

US company Forge Nano has successfully raised over $50 million in its recent funding round, with Korea's Hanwha Corporate Venture Capital leading the investment. Other participants included Orion Infrastructure Capital, Catalus Capital, Ascent Funds, and existing investors. This funding brings Forge Nano's total capital raised to date to over $95 million.


The funds will enable Forge Nano to seize the growing opportunities in commercial-scale nanotechnology for battery materials and establish a battery production line capable of meeting the demand for premium batteries. The company plans to commence construction of a pilot battery production line in the second quarter of 2023, catering to various industries such as aerospace, consumer electronics, and defense.

Forge Nano's proprietary technology, known as Atomic Armor, is widely employed in battery applications for vehicles, aerospace, consumer electronics, defense, and other high-end Li-ion users. With this new funding, Forge Nano aims to further develop and scale its Atomic Armor technology within the lithium-ion market, enabling the company to offer finished battery solutions at scale.

The funding will also enhance Forge Nano's capabilities in applying Atomic Armor across different industries. By expanding its manufacturing footprint and allocating more resources to customer support, the company expects to increase its production capacity fivefold while improving tool production efficiency through the integration of digital management infrastructure.

Forge Nano has recently formed strategic partnerships with prominent U.S. material producers and battery off-takers, highlighting the capabilities of its proprietary nanocoating technology, Atomic Armor. These partnerships aim to develop next-generation batteries and strengthen the U.S. domestic battery supply chain. For instance, Forge Nano's collaboration with Anovion, a U.S.-based battery material producer, combines Forge Nano's surface engineering expertise with Anovion's synthetic graphite to create industry-leading lithium-ion batteries.

The company foresees substantial revenue growth in 2023 and expects to double its revenue once again through increasing market adoption. Forge Nano's Atomic Armor technology empowers manufacturers to engineer materials at the atomic level, optimizing battery characteristics such as range, safety, and cycle life. With a team of experienced scientists and a broad portfolio of commercial partners, Forge Nano offers tailored solutions across the entire spectrum, from small-scale research and development to large-scale, high-volume production.

Source:

Tuesday, June 6, 2023

TNO heads consortium developing ‘Third-generation electrolyzers with SparkNano ALD technology

Dutch research center TNO, along with three technical universities and several enterprises, is leading a consortium to develop "Third-generation electrolyzers," aiming to create more affordable and efficient electrolyzers for hydrogen production. 

The collaboration will focus on designing advanced stacks and components for electrolysers, as well as exploring manufacturing technologies. The goal is to establish a significant presence in the global electrolyser market. The five-year project will investigate various methods of water splitting and CO2 electrolysis, with the expectation that different concepts will synergize and contribute to the development of cutting-edge production technology. 



TNO's Atomic Layer Deposition technology, marketed by SparkNano, holds promise for creating novel electrolysers. The initiative is part of NXTGEN HIGHTECH, which aims to provide innovative solutions in key areas of production technology. Participating companies, knowledge institutions, and groups include Admatec, Bosch Transmission Technology, Coval Energy, Delft University of Technology, Eindhoven University of Technology, Magneto Special Anodes, and others.

Links &sources:

TNO heads consortium developing 'Third-generation electrolyzers' - (industryandenergy.eu)

News | P2Hydrogen - VoltaChem

Home - NXTGEN Hightech

SparkNano | Spatial Atomic Layer Deposition (ALD) technology (spark-nano.com)

Friday, June 2, 2023

ASM breaks ground on new state-of-the-art innovation and manufacturing center in Hwaseong, Korea

ASM International N.V. is embarking on the construction of a state-of-the-art innovation and manufacturing center in Hwaseong, South Korea. With a groundbreaking ceremony to be held soon, the expansion project is part of ASM's US$100 million investment plan and follows a Memorandum of Understanding signed with the Ministry of Trade, Industry and Energy of South Korea. The investment aims to bolster ASM's research and product development infrastructure and increase manufacturing capacity to meet the growing demand for semiconductors. The company also intends to create job opportunities in engineering, R&D, and manufacturing, contributing to workforce development in Korea.


The ceremony will be attended by ASM's CEO, Benjamin Loh, and other dignitaries, including political figures and business partners. Loh expressed his enthusiasm for the expansion in Hwaseong, highlighting the potential and dedication of the Korean people. The investment signifies ASM's commitment to Korea and its ambition to grow the business while enabling the development of advanced technologies in the semiconductor industry. Hwaseong has played a vital role in ASM's growth, serving as a hub for advanced R&D and technology for both local and global customers.

The existing Hwaseong facility, which currently employs over 450 people, will be expanded to accommodate more employees as the company continues to grow. The new extension will add 31,000 m2 across eight floors, more than doubling the facility's R&D area and nearly tripling its manufacturing area. YK Kim, Chairman of ASM Korea, emphasized that the expansion is an investment in people and the semiconductor ecosystem in Korea, aiming to support the country's semiconductor industry growth in collaboration with the government, customers, and suppliers.

ASM's commitment to Hwaseong demonstrates its dedication to the Korean market and its goal of facilitating domestic semiconductor innovation while meeting global customer demands. The company's local R&D team has been instrumental in developing groundbreaking technologies in the industry, such as ALD QCM quad chamber modules, TENZA™ ALD for high aspect ratio gap-fill, and high-quality PEALD silicon and metal oxides and nitrides for various applications. 

Release of TENZA(TM): BALD Engineering - Born in Finland, Born to ALD: ASM International launches TENZA ALD Quad Chambers for silicon oxide gap-fill and liners on the XP8 platform


ASM Korea MOU: BALD Engineering - Born in Finland, Born to ALD: Dutch ALD euipment leader ASM to invest $100 mil. in Korea for facility expansion


Finnish ALD equipment manufacturer Beneq has entered a partnership with Lung Pien Vacuum Industry Co., Ltd. in Taiwan.

Finnish ALD equipment manufacturer Beneq has partnered with Taiwan's Lung Pien Vacuum Industry to promote ALD technology for optical applications. They held a seminar to exchange knowledge and explore ALD solutions for mass production challenges. ALD is a process that deposits atomic thin films with advantages like large-area coverage and precise film thickness control. Beneq, a global leader in ALD equipment, introduced AtomGrassTM ALD, a wide-angle broadband anti-reflective coating. Lung Pien will provide Beneq's equipment and services to its optics customers in the Asia-Pacific region. The partnership aims to actively promote ALD coating technology for high-end curved lenses in Taiwan's optical market.

Finnish ALD equipment manufacturer Beneq has formed a partnership with Lung Pien Vacuum Industry, a prominent vacuum coating equipment manufacturer based in Taiwan. The collaboration aims to promote ALD technology for emerging optical applications, such as camera lenses for mobile phones and automobiles. In April, the two companies organized an "ALD Optical Coating" seminar in Taiwan, inviting major optical manufacturers to exchange knowledge and explore ALD solutions for mass production challenges. ALD is a deposition process that involves the formation of self-limited reactions between precursor gases and a substrate surface, resulting in atomic thin films with advantages like large-area coverage, high step coverage, low-temperature processing, and precise film thickness control.


Peter Hsieh (left standing), Beneq’s Advanced ALD Sales Director, and Kalle Niiranen (right standing), Technical Sales Manager, hosting the ALD Optical Coating seminar on the 20th of April in Taichung, Taiwan.

Beneq has been a pioneer in industrial ALD production since 1984 and has established itself as a global leader in ALD equipment manufacturing. The company offers a wide range of technical products and R&D services, with a focus on finding industrial ALD solutions through collaboration and co-development. Beneq recently introduced AtomGrassTM ALD, an innovative wide-angle broadband anti-reflective (AR) coating. This solution provides exceptional anti-reflective properties with less than 0.07% average reflectance across a wide wavelength range and significantly improves performance at high angles of incidence.

According to Peter Hsieh, Sales Director of Beneq's Advanced ALD Business Unit, the demand for ALD is increasing in the nano-processes of the integrated circuit industry. ALD has replaced traditional methods like CVD and PVD for many key thin-film processes. Hsieh believes that ALD's development is now closer to industrial-grade 12-inch mass production equipment, opening up excellent application opportunities in various fields, including optoelectronics, automotive, medical materials, and more.

Lung Pien Vacuum Industry, founded in 1991, has a longstanding relationship with the optical industry. The company offers a comprehensive range of services, including product design, development, equipment manufacturing, production planning, operator training, and process design consulting. While Lung Pien primarily focuses on vacuum evaporation and sputtering equipment manufacturing, thin film process technology services, and other related products, their partnership with Beneq will enable them to provide Beneq's ALD equipment and services to their extensive network of optics customers in the Asia-Pacific region. The collaboration between Beneq and Lung Pien aims to actively promote ALD coating technology for high-end curved lenses in Taiwan's optical market, leveraging ALD's ability to provide uniform conformal thin film layers for complex structures.

Thursday, June 1, 2023

Atomic layer deposition creates advanced eco-friendly vehicle materials

Atomic Layer Deposition (ALD) technology is gaining prominence for its advanced and eco-friendly applications, according to an article on TechXplore. ALD enables the precise deposition of ultra-thin films on various surfaces, offering exceptional control over thickness and composition. It is being utilized in diverse fields, including electronics, energy storage, and biomedical applications, due to its ability to enhance performance and reduce environmental impact. The article highlights recent advancements in ALD, such as the development of ALD processes using environmentally friendly precursors and the exploration of new materials for ALD applications, contributing to the growth and sustainability of this promising technology.



More information: Xiao Liu et al, Atomic-scale engineering of advanced catalytic and energy materials via atomic layer deposition for eco-friendly vehicles, International Journal of Extreme Manufacturing (2023). DOI: 10.1088/2631-7990/acc6a7

Merck has introduced new ALD barrier materials that are superior in flexible OLED devices

Merck has introduced new barrier materials for flexible OLED devices, utilizing low-temperature Plasma Enhanced Atomic Layer Deposition (ALD) technology. These materials offer superior flexibility, reliability, and longer lifetime compared to existing solutions. The ALD silicon materials, recognized with the Display Component of the Year 2023 award from the Society for Information Display, provide improved thin film encapsulation for OLEDs. Merck's investment in OLED production capacity expansion in Korea and China strengthens its position as a leading global supplier of high-purity OLED materials, meeting the growing demand and ensuring a stable supply chain.

Merck has introduced new barrier materials that offer superior flexibility, higher reliability, and longer lifetime in flexible OLED devices compared to existing solutions. These innovative materials, processed using low-temperature Plasma Enhanced Atomic Layer Deposition (ALD) technology, provide highly improved barrier characteristics that are 100 times more effective and 20 times thinner than current solutions. Merck's ALD silicon materials have won the Display Component of the Year 2023 award from the Society for Information Display (SID), recognizing their advancements in the display industry.

The demand for flexible OLED displays, which enable free-form devices and new applications, is rapidly growing. However, OLEDs are susceptible to degradation by moisture and oxygen. To address this, Merck developed encapsulation materials that are conformable, flexible, and durable. By leveraging its expertise in developing encapsulation materials for the semiconductor industry, Merck created barrier materials that enhance the thin film encapsulation of flexible OLEDs. These materials not only extend the lifetime of OLED devices but also meet stringent automotive requirements.

“As a pioneer in display materials, we are committed to providing our customers with solutions that enable new form factors,” said Damien Tuleu, Executive Vice President and Head of Display Solutions business unit at Electronics. “As the most advanced thin-film deposition technology, our low-temperature ALD silicon materials offer highly improved barrier characteristics - 100 times more effective than current solutions. And they come along with a thinner layer too - 20 times thinner than existing solutions. Ultimately, this means better conformability, flexibility, and durability than ever before.”


Merck's investment in OLED manufacturing capacities and its commitment to meeting the increasing demand for high-purity OLED materials have positioned the company as a leading global supplier in this technology. With three decades of research experience and early investments in OLED production, Merck is well-prepared to serve the market's needs. The company's expansion of OLED production capacity in Korea and China, with an investment of approximately €30 million, allows for easier access to OLED materials and a more stable and flexible supply chain for its Asia-based customers.


Source: Merck’s new barrier materials | Merck (merckgroup.com)

ASM faces restrictions on exporting advanced chip equipment to China, impacting its operations.

  • ASM faces restrictions on exporting advanced chip equipment to China, impacting its operations.
  • Despite the curbs, ASM experiences a boost in demand driven by the growing need for artificial intelligence (AI) technologies.
  • The CEO of ASM believes that achieving complete decoupling between the United States and China will be a challenging task.
According to Bloomberg, Dutch CVD, ALD and Epi semiconductor equipment company ASM International NV is experiencing minimal impact on its sales due to US export controls on semiconductor equipment to China. The company remains optimistic as strong demand for chips, driven by artificial intelligence applications such as generative AI, continues to grow. 

ASM's CEO, Benjamin Loh, highlighted the increasing need for memory in the coming years, particularly in the context of AI technologies. ASM expects the chip market to recover from next year onwards, with sales in 2025 surpassing those of 2022. To capitalize on future growth, ASM plans to invest $100 million in expanding its research operations and facility in South Korea and aims to hire an additional 200 staff within three to five years. Despite restrictions on selling advanced chipmaking gear to China, ASM's position as a key machinery provider to Nvidia, a leading AI accelerator supplier, has contributed to its shares gaining over 70% this year.


Loh, reiterates that the company will experience minimal impact from the restrictions on exporting semiconductor equipment to China. Loh mentions that while they are unable to sell the most advanced equipment, there is still a significant market for other non-restricted equipment, and they can continue trading with Chinese customers. He remains optimistic about the future, stating that as long as chip demand continues to grow, there will be other buyers for their premium products. 

Loh also highlights the challenge of complete decoupling between China and the US, as the chip supply chain remains highly globalized. Recent statements from US officials reflect a shift towards derisking rather than decoupling from China in efforts to improve relations between the two economies.