Thursday, July 29, 2021

Picosun delivers ALD Morpher 200 mm Batch Cluster tool to ams OSRAM

ESPOO, Finland, 28th of July 2021 – Picosun Group delivers cutting-edge Atomic Layer Deposition (ALD) technology to ams OSRAM for volume manufacturing of optical semiconductor devices.

ams OSRAM has invested in a fully automated PICOSUN® Morpher production cluster, which can deposit multiple materials on a batch of wafers even during the same process run. The flexibility and process variety of the PICOSUN® Morpher system is a key advantage, which enables volume production as well as the testing of new processes for R&D of future products.


Picosun Group and ams OSRAM have collaborated in a public funded project FLINGO (m-era.net project) to develop new ALD materials and processes to improve the characteristics of LEDs, such as efficiency and durability. The collaboration between the parties will continue after the ALD system delivery with activities to further expand the use of ALD in optoelectronic semiconductor processing.

“We have been working with Picosun since 2010 and now with this investment we can bring our collaboration to the next level. We are very excited to have the PICOSUN™ Morpher F cluster platform installed in our cleanroom”, states Dr. Sebastian Taeger, at ams OSRAM.

“The optical semiconductor market is one focus area of Picosun today. It is a fast-growing market where we have a strong presence with our tailored solutions for compound semiconductor-based devices. We have had excellent collaboration with the ams OSRAM technical team during project FLINGO and during the system specification stage. The expertise from both companies has resulted in optimized ALD solutions to boost the performance of the customer’s products.”, continues Dr. Christoph Hossbach, General Manager of Picosun Europe GmbH.

Tuesday, July 27, 2021

ASM International Reports 2nd Quarter Results

ASM INTERNATIONAL N.V. REPORTS SECOND QUARTER 2021 RESULTS, Almere, The Netherlands, July 27, 2021 [LINK]

  • New orders of €516 million for the second quarter 2021 increased by 73% compared to the same period last year. This is consistent with our announcement on July 1, 2021, that order intake in the second quarter clearly exceeded the previous guidance.
  • Year-on-year revenue growth for the second quarter 2021 was 29% at constant currencies (20% as reported).
  • Gross profit margin of 48.1% was close to last year’s margin of 48.3%.
  • Operating result for the second quarter 2021 improved from €88 million last year to €118 million this year mainly driven by strong revenue growth.
  • Normalized net earnings for the second quarter 2021 were €111 million, a significant improvement compared to same quarter last year.

COMMENT

“Our company delivered again a strong quarter,” said Benjamin Loh, President and Chief Executive Officer of ASM International. “Order intake surged to a new quarterly record of €516 million on the back of continued strong logic/foundry demand and our ALD product leadership. As already announced on 1 July, 2021, the order intake exceeded the previous guidance of €420-440 million, mainly driven by customers pulling in orders into Q2 that were previously expected to be received in Q3. Compared to the same period last year, sales in the second quarter increased by 29% at constant currencies and 20% as reported. Revenue, at €412 million, was slightly above the high end of the guidance of €390-410 million. While we benefited from our expanded manufacturing capacity in Singapore, supply chain conditions further tightened during the quarter, also due to new lockdown measures in parts of Southeast Asia. Thanks to great efforts by ASM’s team and our supply chain partners, we were still able to meet customer requirements.”

OUTLOOK

For Q3, on a currency comparable level, we expect sales of €400-430 million. Q3 bookings, on a currency comparable level, are expected to be in a range of €510-530 million, and also include orders that are planned to be shipped in 2022. Continued tight supply chain conditions are reflected in our sales guidance for Q3 and, based on the current visibility, are also expected to have some impact in Q4, although we do expect Q4 sales to increase compared to the level in Q3. Based upon the current market developments, the wafer fab equipment (WFE) market is expected to grow by a high twenties to low thirties percentage in 2021.



Friday, July 23, 2021

PlasticARM - A natively flexible 32-bit Arm microprocessor using ALD

Woah - PlasticARM 32-bit microprocessor using ALD and other thin film deposition techniques on a flexible substrate.

A natively flexible 32-bit Arm microprocessor using ALD

John Biggs, James Myers, Jedrzej Kufel, Emre Ozer, Simon Craske, Antony Sou, Catherine Ramsdale,
Ken Williamson, Richard Price & Scott White
Nature volume 595, pages532–536 (2021)

Abstract: Nearly 50 years ago, Intel created the world’s first commercially produced microprocessor—the 4004, a modest 4-bit CPU (central processing unit) with 2,300 transistors fabricated using 10 μm process technology in silicon and capable only of simple arithmetic calculations. Since this ground-breaking achievement, there has been continuous technological development with increasing sophistication to the stage where state-of-the-art silicon 64-bit microprocessors now have 30 billion transistors (for example, the AWS Graviton2 microprocessor, fabricated using 7 nm process technology). The microprocessor is now so embedded within our culture that it has become a meta-invention—that is, it is a tool that allows other inventions to be realized, most recently enabling the big data analysis needed for a COVID-19 vaccine to be developed in record time. Here we report a 32-bit Arm (a reduced instruction set computing (RISC) architecture) microprocessor developed with metal-oxide thin-film transistor technology on a flexible substrate (which we call the PlasticARM). Separate from the mainstream semiconductor industry, flexible electronics operate within a domain that seamlessly integrates with everyday objects through a combination of ultrathin form factor, conformability, extreme low cost and potential for mass-scale production. PlasticARM pioneers the embedding of billions of low-cost, ultrathin microprocessors into everyday objects.


a, The SoC architecture, showing the internal structure, the processor and system peripherals. The processor contains a 32-bit Arm Cortex-M CPU and a Nested Vector Interrupt Controller (NVIC), and is connected to its memory through the interconnect fabric (AHB-LITE). Finally, the external bus interface provides a General-Purpose Input-Output (GPIO) interface to communicate off-chip with the test framework. b, Features of the CPU used in PlasticARM compared to those of the Arm Cortex-M0+ CPU. Both CPUs fully support Armv6-M architecture with 32-bit address and data capabilities and a total of 86 instructions from the entire 16-bit Thumb and a subset of 32-bit Thumb instruction set architecture. The CPU microarchitecture has a two-stage pipeline. The registers are in the CPU of the Cortex-M0+, but in the PlasticARM the registers are moved to the latch-based RAM in the SoC to save the CPU area of the Cortex-M. Finally, both CPUs are binary compatible with each other and to other CPUs in the same architecture family. c, The die layout of PlasticARM, denoting the key blocks in white boxes such as the Cortex-M processor, ROM and RAM. d, The die micrograph of PlasticARM, showing the dimensions of the die and core areas. From: A natively flexible 32-bit Arm microprocessor

Green CVD—Toward a sustainable philosophy for thin film deposition by chemical vapor deposition

Thin films of materials are critical components for most areas of sustainable technologies, making thin film techniques, such as chemical vapor deposition (CVD), instrumental for a sustainable future. It is, therefore, of great importance to critically consider the sustainability aspects of CVD processes themselves used to make thin films for sustainable technologies. Here, we point to several common practices in CVD that are not sustainable. From these, we offer a perspective on several principles for a sustainable, “Green CVD” philosophy, which we hope will spur research on how to make CVD more sustainable without affecting the properties of the deposited film. We hope that these principles can be developed by the research community over time and be used to establish research on how to make CVD more sustainable and that a Green CVD philosophy can develop new research directions for both precursor and reactor design to reduce the precursor and energy consumption in CVD processes.




Electrical energy consumption and greenhouse gas emission in 300 mm logic wafer production for relevant technology nodes in production in 2021 and to be ramped up in the next five years.

We foresee a new research field focused on developing more sustainable CVD processes without impacting the performance of the deposited film negatively. To develop this, we suggest an adaption of a philosophy similar to Green Chemistry,8 a philosophy for all areas of chemistry and chemical engineering to make more sustainable processes and products. Green chemistry focuses on reducing the amount of hazardous materials used and generated, the amount of energy consumed, and designing less harmful molecules. Here, we outline suggestions for such a Green CVD philosophy

A Green CVD philosophy needs to focus on reducing the total energy consumption, reducing molecular consumption by increasing the efficiency in atom usage, and reducing the use of and formation of hazardous molecules. This should be done for the whole process chain of a CVD process—from precursor synthesis to waste gas abatement. A sustainable CVD process must also take an active stand against human rights abuse throughout the whole materials chain, use renewable energy for CVD equipment, and make use of the excess heat produced by CVD equipment. 

Summary of a suggested Green CVD philosophy

From this breakdown of the CVD process, we suggest the following principles to summarize a sustainable Green CVD philosophy:
(1) Use precursors that can be supplied to the process in close to the stoichiometric ratios in the target film to reduce molecular waste.
(2) Use precursors that undergo reactions with lower activation energies to reduce energy consumption and molecular waste.
(3) Use less hazardous precursor molecules to make the CVD process safer.
(4) Use precursors that produce less harmful by-products that are easier to handle.
(5) Minimize waste and energy consumption in the precursor supply chain.
(6) Minimize the thermal budget and vacuum volume of the CVD reactors.
(7) Use the most energy-efficient way to activate the deposition chemistry, including plasma methods.
(8) Recycle unconsumed CVD gases and precursors.
(9) Identify, prevent, address, and account for human rights abuses in the CVD supply chain.
(10) Use renewable energy for the CVD process and harvest excess heat.

Finally, we appreciate that industry is reluctant to change precursors and CVD processes that have been successfully brought into high volume production. As we have already pointed out, the research area of Green CVD should strive to make a given CVD process more sustainable without causing negative effects on the performance of the deposited film. Ideally, Green CVD should not affect the price of the CVD processing step either. It is very reasonable to expect that the demands for more sustainable production will increase and with that a need for more sustainable CVD. As in other research, a strong collaboration between industry and academia will strengthen the Green CVD development effort.
Full article in JVSTA: 

Green CVD—Toward a sustainable philosophy for thin film deposition by chemical vapor deposition
Journal of Vacuum Science & Technology A 39, 051001, (2021); https://doi.org/10.1116/6.0001125  Henrik Pedersen, Seán T. Barry, and Jonas Sundqvist


 

Thursday, July 22, 2021

Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices

Research showing the potential for Plasma Enhanced ALD to scale up superconducting Quantum circuits from Jena and Karlsruhe, Germany using Oxford Instruments Plasma ALD.

Abstract: Superconducting niobium nitride thin films are used for a variety of photon detectors, quantum devices, and superconducting electronics. Most of these applications require highly uniform films, for instance, when moving from single-pixel detectors to arrays with a large active area. Plasma-enhanced atomic layer deposition (ALD) of superconducting niobium nitride is a feasible option to produce high-quality, conformal thin films and has been demonstrated as a film deposition method to fabricate superconducting nanowire single-photon detectors before. Here, we explore the property spread of ALD-NbN across a 6-in. wafer area. Over the equivalent area of a 2-in. wafer, we measure a maximum deviation of 1% in critical temperature and 12% in switching current. Toward larger areas, structural characterizations indicate that changes in the crystal structure seem to be the limiting factor rather than film composition or impurities. The results show that ALD is suited to fabricate NbN thin films as a material for large-area detector arrays and for new detector designs and devices requiring uniform superconducting thin films with precise thickness control.



Wafer-level uniformity of atomic-layer-deposited niobium nitride thin films for quantum devices
Journal of Vacuum Science & Technology A 39, 052401 (2021); https://doi.org/10.1116/6.0001126

Friday, July 2, 2021

Future foldable and flexible Display with NCD’s ALD encapsulation technology

In the global market of smart phones, competition on mobile’s form factors has been an important issue since foldable smart phones had launched following cured ones. Samsung electronics applied in-folding form factor to Galaxy Fold and Galaxy Z Flip, and Huawei used out-folding form factor to Mate X. New two or three folding form factor has been unveiling to the public beyond in-folding and out folding displays.

Flexible displays consist of Thin Film Transistor (TFT), Organic Light Emission Diode (OLED) and multi encapsulation layers. Generally organic and inorganic laminated layers is used for foldable displays and PECVD has applied to deposit inorganic materials.

Basically, Inorganic layers is lack of brittleness then their encapsulation property is degraded with continuous mechanical stress. ALD method for TFE was considered instead of PECVD due to their excellent encapsulation characteristics with thicknesses of few tens of nanometers. The reliability of the tool blocked applying to production at that time.

But because of the superior encapsulation property using ALD, many universities, institutes as well as display companies have been developing ALD inorganic layers for flexible displays and evaluating hundreds of thousand times folding test considering actual use recently.

LucidaTM GD Series ALD


The customer which has NCD’s Lucida GD Series ALD, measured folding test on flexible displays with inorganic layers using ALD instead of using PECVD and showed great performance under actual display operation. The 5.85 inch AMOLED display panels for in-folding and out-folding consisted of encapsulation structure of 30nm Al2O3 ALD/ 8㎛-Polymer/ 30nm Al2O3 and was tested in-folding and out-folding evaluation of 200,000 times with bending radius of 2R under light status after the 1st reliability test of RA 60℃/90% for 500hr. There were no dark spots on the panels after finishing the folding measurement. The 2nd reliability test of RA 60℃/90% for 48hr followed folding evaluation and then the TFE status was examined without any cracks.


Using NCD’s large area batch ALD system for foldable phones could obtain superior encapsulation property and flexibility with very thin inorganic layers to current ones using PECVD as well as provide great productivity because the batch tool can process lots of panels at one time.

Then NCD really looks forward to applying its large area batch ALD technology to encapsulation of future flexible display with in/out-folding and very small bending radius because of having solved the previous issues without both reliability and productivity that the reason is why ALD equipment didn’t apply for mass production of flexible display.

Thursday, July 1, 2021

Picosun’s PicoArmour(TM) reduces semiconductor manufacturing costs

ESPOO, Finland, 2nd of June 2021 – Picosun Group has pending patent rights for an ALD enabled corrosion protection solution against plasma etch that will bring benefits in semiconductor fabrication processes in terms of throughput, film uniformity and conformality. With PicoArmourTM the corrosion protection can be achieved more efficiently compared with the industry solutions commonly used today.

Wafer fabrication process flows include several steps where plasma etching is necessary. An inevitable consequence of using etching chemicals is that the tool itself will be etched. A common industrial solution for reducing the tool damage is applying a corrosion-resistant coating to the etch tool using for example PVD or spray coating​ with Y2O3. Compared to only using Y2O3, PicoArmour(TM) enables an up to five times faster and a more cost-effective way of producing the coating. Compared to Al2O3, the coating can be five times more durable.* Also, the maintenance interval of etch tools can be increased which also translates to significant reduction of manufacturing costs.


“Picosun’s approach with PicoArmour(TM) is to combine the highly-etch-resistant Y2O3 ALD process with more robust ALD processes. A high performance ALD corrosion barrier combining the speed and convenience of Al2O3 process with the durability of Y2O3 can be achieved by carefully controlling the film composition. With ALD, the protective effect can be achieved with thinner films, which in turn leads to material savings and a more environmentally friendly process”, states Juhana Kostamo, VP, Industrial Business Area of Picosun Group.

To learn more about PicoArmour(TM) and a study Picosun has done related to protective coatings against plasma damage, join Picosun talk at the virtual ALD 2021 conference on June 29 at 10:25 am EDT.

Tier 1 semiconductor automotive supplier selects Oxford Instruments Plasma Technology’s ALE technology for it’s GaN power electronic program

Oxford Instruments Plasma Technology announced May 25, 2021, (LINK) that a leading German semiconductor manufacturer to the automotive industry has selected its PlasmaPro®100 Cobra® system for the development of next generation GaN power electronic devices.

The PlasmaPro®100 Cobra® system is designed for superior uniformity, high- precision and low-damage process solutions. The production-proven system allows for rapid change between wafer sizes up to 200 mm and the cost of ownership is one of the lowest in the market.

The PlasmaPro®100 Cobra® system will be incorporated into the R&D section and will be used for development of GaN power devices. GaN power devices are gaining market share in fast charger applications and offer benefits in Electric Vehicle power management systems.

We continue to see very encouraging signals in the form of increasingly proactive customer engagement and clear market preparation and positioning activities from significant industry players for the emerging Wide Band Gap power electronic market.

"Our Atomic Scale Processing etch solution being selected by this world leading manufacturer for their GaN power electronics programme is an important strategic win for Oxford Instruments Plasma Technology" comments Klaas Wisniewski, Plasma Technology’s Strategic Business Development Director, who also added: "The GaN based power electronic market is very dynamic with improvements to both performance and cost expected at each design iteration.. This reiterates the importance of our strategy to focus on atomic scale processing solutions such as atomic layer deposition (ALD) and atomic layer etching (ALE). We are pleased that such a leading automotive semiconductor company recognizes the benefits our solutions deliver.





The PlasmaPro 100 ALE delivers precise process control of etching for next-generation semiconductor devices. Specially designed for processes such as recess etching for GaN HEMT applications and nanoscale layer etching, the system's digital/cyclical etch process offers low damage, smooth surfaces.

  • Digital/Cyclical etch process – etching equivalent of ALD
  • Low damage
  • Smooth etch surface
  • Superb etch depth control
  • Ideal for nanoscale layer etching (e.g. 2D Materials)
  • Wide range of processes and applications