Wednesday, March 31, 2021

Canada's Gratomic Enters EV Battery Supply Chain With Forge Nano and ALD

TORONTO, ON / ACCESSWIRE / Wednesday, March 31, 2021 / Gratomic Inc. ("GRAT", "Gratomic" or the "Company") (TSXV:GRAT)(OTCQX:CBULF)(FRANKFURT:CB82) is pleased to announce its plans to build a pilot facility (the "Facility") to internally process up to 1,000 tons of SG16 battery grade anode materials for the booming Electronic Vehicle ("EV") battery market in the second half of 2021. This initial pilot facility, if successful (as demonstrated by internal testing), is expected to be followed by the construction of a demonstration facility, which will process up to approximately 2,000 tons per annum beginning in 2022 and up to 20,000 tons per annum once the demonstration facility is converted into a full final production phase. The facility will be located at the Company's owned warehouse located at the Port of Luderitz Bay. While Gratomic completes the development of the pilot facility graphitic material will be shipped to Forge Nano, Inc. ("Forge Nano") for Atomic Layer Deposition ("ALD") coating in preparation for use as a battery anode material. This processing facility will enable the Company to take our raw graphite material to the final stages required for use in a battery anode application.


Forge Nano's ALD coating technology for use in lithium-ion battery anode applications, could result in significant gains in performance to Gratomic's SG16 battery graphite compounds, as per our press release dated October28. The Company has therefore entered into a cooperative agreement with Forge Nano, a leading expert in the field of battery materials (www.forgenano.com), to not only undertake the ALD coating process but also to assist in the planning and development of GRAT's aforementioned processing facility. Battery anode materials generally consists of three stages of processing: micronization, spheronization and coating. Forge Nano specializes in the final coating stage with their patented ALD coating technology. This facility will work in tandem with the Company's Aukam vein graphite project, which is now in its commissioning phase (See Company's press release dated March 29).

Procurement of the equipment system capable of applying ALD coatings for use in the Facility will be released by Forge Nano upon the successful completion of various performance and cost milestones (See "Scope of Collaboration" below).

Gratomic's Ludertiz Bay property covers 6,564 square meters and contains three buildings including a large warehouse, a double garage with six storerooms/workshops and a single garage with two offices and a store-room. The Company intends to use the warehouse for the ALD processing and packaging of its graphite. The property provides Gratomic with waterfront access to the Port of Luderitz and to container facilities, enabling direct delivery to ports in Europe, Asia and North America.

Furtehr details: LINK

Tuesday, March 30, 2021

Picosun Group demonstrates strong growth in 2020 - Turnover grew by 38,6%

ESPOO, Finland, 30th of March 2021 – Picosun Group reports strong growth and a positive operating profit from its fiscal year 1.1.2020-31.12.2020 (LINK)

Fiscal year 2020 in short*
• Order intake grew by 48,4% and was €40,9M (€27,5M)
• Turnover grew by 38,6% and was €37,6M (€27,1M)
• Operating profit was €0,9M (€-0,3M), which is 2,5% (-1,1%) of the turnover

A bigger portion of the new order intake and turnover, compared to previous years, consisted of sales of machines meant for production in the industry and medical sector. The growth was especially strong in Asia and Europe. Service business represented 16% (12%) of 2020 order intake.

“Despite the COVID-19 challenges we were able to continue on our growth path as planned. The pandemic led to increased investments in the medical sector. Also, remote work and the increased interest in entertainment applications grew the demand of production machines in electronics and semiconductor industries”, states Jussi Rautee, CEO of Picosun Group.

New investments in medical industry

Picosun has been involved in product development for the medical sector for some time. Protecting for example medical implants with ALD from the corrosive environment of the human body, and vice versa, is of utmost importance considering the safety, correct operation, and lifetime of the implant.

The company brought a number of significant new products to the semiconductor market. Here the PICOSUN® Sprinter, a high throughput ALD production module for 300 mm wafers, was launched late 2020.

The company established a new business area, PicoMedical, in response to the increased interest and needs of the medical and healthcare ALD segments. PicoMedical’s order intake in 2020 constituted 10% of the company’s new order intake.

”We in Picosun believe that ALD will disrupt the medical industry the same way it did in the semiconductor industry. Our aim is to be the forerunner in this development”, says Jussi Rautee.

Almost a fifth of company’s turnover to research and development


During 2020 Picosun Group continued investing in its R&D functions. The company invested 18% of its turnover to various research and development activities. During 2020 Picosun filed 16 new patent applications at the European Patent Office, and 34 patent applications in various countries were granted to Picosun.

In 2020, Picosun made the top 10 list of paten applications in Finland, with Nokia as number 1.

The company brought a number of significant new products to the semiconductor market. PICOSUN® Sprinter, a high throughput ALD production module for 300 mm wafers, was launched late 2020. PICOSUN® Morpher product family, designed for 200 mm wafers, grew with Morpher P (PE-ALD). For easy, intuitive and user-friendly operations of the whole PICOSUN® ALD cluster, the company launched its unified control software PicoOS™.

“Our commitment to meet our customers’ needs proactively and ensuring their future success resulted in the development work and launches that took place in 2020. This is part of ongoing work that we are continuing this year. We are also further developing ways to be in contact with our customers. Last year we took into use the leading remote support tools, taking advantage of e.g. augmented reality, that allow safe and on-time service delivery no matter the physical location of the customer”, says Jussi Rautee.

*Numbers in the brackets are 2019 non-audited consolidated numbers based on management reports.

Friday, March 26, 2021

Samsung confirms first HKMG for DDR5 DRAM

ASM International recently acknowledged that ALD High-k/Metal Gate (HKMG) is finally in high volume production for DRAM (LINK). Now Samsung confirms that. This is a small victory for all people working on this process for such a long time. My first tool ownership when I moved to Germany and started at Infineon was an ASM Polygon 200 mm cluster with a Pulsar 2000 chamber running HfO2, TiN, TiHfN, TiAlN, Al2O3, and my not fully understood HfN ALD process and a Poly chamber that I never really cared too much about. Press release below - and now do the maths - how big this business is once rolled out for all DRAM technologies to come - yeah $$$, many tulips indeed.



Samsung Develops Industry’s First HKMG-Based DDR5 Memory; Ideal for Bandwidth-Intensive Advanced Computing Applications

512GB capacity DDR5 module made possible by an 8-layer TSV structure
HKMG material reduces power by 13 percent while doubling the speed of DDR4


Samsung Electronics, the world leader in advanced memory technology, today announced that it has expanded its DDR5 DRAM memory portfolio with the industry’s first 512GB DDR5 module based on High-K Metal Gate (HKMG) process technology. Delivering more than twice the performance of DDR4 at up to 7,200 megabits per second (Mbps), the new DDR5 will be capable of orchestrating the most extreme compute-hungry, high-bandwidth workloads in supercomputing, artificial intelligence (AI) and machine learning (ML), as well as data analytics applications.



“Samsung is the only semiconductor company with logic and memory capabilities and the expertise to incorporate HKMG cutting-edge logic technology into memory product development,” said Young-Soo Sohn, Vice President of the DRAM Memory Planning/Enabling Group at Samsung Electronics. “By bringing this type of process innovation to DRAM manufacturing, we are able to offer our customers high-performance, yet energy-efficient memory solutions to power the computers needed for medical research, financial markets, autonomous driving, smart cities and beyond.”

“As the amount of data to be moved, stored and processed increases exponentially, the transition to DDR5 comes at a critical inflection point for cloud datacenters, networks and edge deployments,” said Carolyn Duran, Vice President and GM of Memory and IO Technology at Intel. “Intel’s engineering teams closely partner with memory leaders like Samsung to deliver fast, power-efficient DDR5 memory that is performance-optimized and compatible with our upcoming Intel Xeon Scalable processors, code-named Sapphire Rapids.”

Samsung’s DDR5 will utilize highly advanced HKMG technology that has been traditionally used in logic semiconductors. With continued scaling down of DRAM structures, the insulation layer has thinned, leading to a higher leakage current. By replacing the insulator with HKMG material, Samsung’s DDR5 will be able to reduce the leakage and reach new heights in performance. This new memory will also use approximately 13% less power, making it especially suitable for datacenters where energy efficiency is becoming increasingly critical.

The HKMG process was adopted in Samsung’s GDDR6 memory in 2018 for the first time in the industry. By expanding its use in DDR5, Samsung is further solidifying its leadership in next-generation DRAM technology.

Leveraging through-silicon via (TSV) technology, Samsung’s DDR5 stacks eight layers of 16Gb DRAM chips to offer the largest capacity of 512GB. TSV was first utilized in DRAM in 2014 when Samsung introduced server modules with capacities up to 256GB.

Samsung is currently sampling different variations of its DDR5 memory product family to customers for verification and, ultimately, certification with their leading-edge products to accelerate AI/ML, exascale computing, analytics, networking, and other data-intensive workloads




Thursday, March 25, 2021

Forge Nano on ALD for Radio frequency (RF) and power electronics

WHITE PAPER by Forge Nano : Radio frequency (RF) and power electronics are vital to an array of industries, from telecom and consumer electronics to transportation and energy distribution. As energy diversification and the prevalence of high-speed electronics continue to grow, RF and power electronics are expected to reach a global market of $36.6 billion by 2027. Extreme environments such as high temperatures, ultra-violet radiation, oxygen, salinity, and moisture are all threats that degrade and corrode active components causing early failure. Atomic layer deposition (ALD) has created substantial improvements to the reliability and performance of RF and power electronics. Using ALD as an encapsulation layer at the wafer level or as a final hermetic seal at the chip/module/PCB level has been shown to substantially improve electronic performance and lifetime. ALD layers enable longer lifetimes, higher performance and lower cost without adding the considerable mass gain and high temperature processing associated with conventional hermetic coatings.

Check out this fast ALD-Beast: LINK





ALD Advantages:
  • Hermetic sealing encapsulation layers with minimal thickness
  • Pristine conformality in high-aspect ratio structures
  • Ultra-low particle generation
  • Low stress films
  • Improved lifetime of circuits and PCBs
  • Increased resistance to harsh environments
  • No peeling or flaking of the hermetic seal at atmospheres >1200 PSI
  • Negligible mass gain from coating at 100 nm

Wednesday, March 24, 2021

Canon, SCREEN and Tokyo Electron to join Japan advanced chipmaking project for 2nm

Canon has partnered with Tokyo Electron and Screen Semiconductor Solutions to develop advanced chipmaking production technology with support from the Japanese government according to a report by Nikkei Asia.

♦ The $386mil USD funding from the Japanese government is through the National Institute of Advanced Industrial Science and Technology, along with the Ministry of Economy, Trade and Industry (METI).
♦ Japans semiconductor production industry has lost ground in recent years to Taiwanese chipmakers and companies like Intel.
The goal is to develop and implement a 2-nanometer or smaller process for chips by the mid-2020s.

Source (Paywall): LINK


Tokyo Electron semiconductor fab professionals shuffling wafers (credit: Tokyo Electron)

Intel is spending $20 billion to build two new chip plants in Arizona

Intel announced on Tuesday that it will spend $20 billion to build two major factories in Arizona. The news comes amid a worldwide chip shortage that is snarling industries from automobiles to electronics and worries the U.S. is falling behind in semiconductor manufacturing. The announcement signals that Intel will continue to focus on manufacturing.

Next chance to get deep insights to Intel quality demands and advanced metrology & analytic for the material supply chain will be at the CMC2021 Conference, broadcasted from San Diego, USA, APril 14-15:

KEYNOTE : Jeanne Yuen-Hum, Vice President of Manufacturing & Operations, and Director of Global Supply-Chain Quality & Reliability, Intel Corporation "The Cost of Quality"

Alex Tregub, PhD Staff Engineer Intel Corporation "From Egyptian Royal Cubit to SEMI Guides for CMP consumables – Industry Standards"

Applied Materials may kill $3.5B buy of Japanese ALD and CVD Furnace Company Kokusai over delayed approval by China

Applied Materials Inc. on Monday said that its $3.5 billion offer to buy Kokusai Electric Corp. may be terminated because the deal hasn't been able to get timely approval from Chinese regulators. The Santa Clara chip manufacturing equipment company set a March 19 deadline on the deal late in December when it increased how much it would pay KKR HKE Investment L.P. for the Japanese company. The original price was $2.2 billion. But it said on Monday that it will likely now pay KKR a termination fee.


Trouble to reach approval in China has been foreseen by several analysts since the announcement 2019, see below for more details.

  • Kokusai is a small acquisition for Applied materials as compared to the previously failed mega-merger with Tokyo Electron
  • Kokusai, which counts Samsung, SK Hynix, Toshiba, and Micron among its top customers, reported revenue of $1.24 billion as of March 2018.
  • Kokusai’s batch wafer processing tools are less technology-intensive than Applied Materials’ single wafer tools, the recent focus on ultra-thin films has driven renewed interest in this group.
Background: 
  • Impacts of the US-China trade conflict on the semiconductor equipment market and ALD LINK
  • ASM International settles with Kokusai for Batch ALD patent licenses (US$61 million) LINK
  • Applied Materials to buy Japan's Kokusai to boost memory chip business and ALD LINK

Friday, March 19, 2021

Picosun Group is among the 10 biggest patent applicants in Finland

Impressive! Besides having the most famous ALD patent from 1974 by Dr. Tuomo Suntola. In 2020 the number of Finnish patent applications done for the European Patent Office increased by over 11% compared to the previous year. Picosun Group is among the 10 biggest patent applicants in Finland. VTT Technical Research Centre of Finland is No.5 and obviously Nokia No.1


Right now Picosun is steaming ahead with its brand new Sprinter 300 mm cluster batch ALD platform and I am convinced they will travel further up on the top 10 list as well as the Tier 1 ALD equipment supplier ranking in the years to come.



Woxna AB in Sweden & Forge Nano USA to evaluate ALD coated graphite anode material for Lithium batteries

Sweden is steaming ahead in the Li-battery supply chain with Gigafab activities in North Sweden by Northvolt and traditionally have a strong Automotive sector led by Volvo Cars, Volvo Trucks, and Scania. Perhaps less known, there are also vast sources of graphite in Sweden and Woxna AB is one of the key mining companies for supplying graphite, which is a material needed for the anode in Li-battery production. So now the cool news - Woxna AB is going with ALD to coat the graphite anode material dug up at various places in Sweden! If the demonstrations are successful we are looking at a future demonstration plant at one of Woxnas graphite mining locations in Sweden - obviously, we will then move any plans of the ALD Sweden Conference to somewhere near that location and ask King Carl Gustav to join us in celebrating this historical milestone *fingers crossed*

ALD Company, Forge Nano, and Leading Edge Materials Corp., through its subsidiary Woxna Graphite AB are pleased to announce development work on the coating of graphite anode material from Woxna using Forge Nano's proprietary Atomic Layer Deposition technology (Press release: LINK).


Leading Edge Materials commenced graphite production at the Woxna Graphite project in July 2014. Woxna is strategically located in central Sweden, on the doorstep of a diverse range of European graphite consumers. Woxna is a fully permitted site, with an open pit mine, graphite processing facility and tailings storage dam already constructed. The site is currently permitted to feed 100,000 ton of graphitic rock per year, which allows for the production of approximately 10,000 tons of graphite concentrate (Source: Woxna Graphite AB, LINK)

From the press release: Compared with traditional coating technologies Forge Nano's ALD coatings offer added benefits such as the ability to control the thickness of the coating at the nanoscale, lower costs, reduced carbon footprint and equipment ready for commercial-scale production. As part of the agreement, Woxna will send samples of spherical purified graphite to Forge Nano for coating, performance testing, and evaluation against other previously carbon-coated Woxna spherical graphite materials. If successful, the agreement outlines a path to purchase equipment from Forge Nano with a capacity suited for deployment in a future demonstration plant at the Woxna graphite mine.

Filip Kozlowski, CEO of Leading Edge states "Coating is the last and most valuable step towards becoming a future active anode materials producer in Europe. Being offered the opportunity to collaborate with a market leader in this field like Forge Nano is a great step forward for the Woxna Graphite project. The advantages of Forge Nano's ALD coating for anode materials are well documented and with the support of some significant European investors in the battery value chain their technology could be the perfect solution to enable a sustainable source of high-performance active anode materials from Sweden."

Thursday, March 18, 2021

AlixLabs AB launched ALE Pitch Splitting (APS) for the first time at ALD & ALE Ireland 2016

We did not want to steal the thunder from our good Irish friends and St. Patrick Day we wish you all a healthy recovery today and breakfast for Champions. Yesterday we remembered our trip to Dublin and launching our proprietary technology, APS - ALE Pitch Splitting, for the first time to a bigger audience. Since then a lot of things has happened at AlixLabs AB in Lund, Sweden:

  • We received soft funding and invest support to found the company by LU Holding (LINK) - AlixLabs AB in Lund, Sweden operating out of IDEON Science park (LINK) and Lund Nano lab at Lund University
  • We received SwedishVinnova grant for "Innovative startups" 93 startup-bolag får dela på 28 miljoner | Vinnova
  • We hired our first Full-time employee Dr. Mohammad Karim, Principal Scientist (LINK)
  • We signed an agreement to use the lab facilities of Sweden’s largest research environment for nanoscience and nanotechnology - Lund Nano Lab (LINK)
  • We applied for an Additional 2.7 MSEK EU and National Swedish Soft co-funding in early 2021 and have additional 3 projects in the pipeline.
  • We have started our 1st Investment round to close before Swedish Midsummer - please get in touch if you want a meeting! (jonas@alixlabs.com or LinkedIn: LINK)


ALE Pitch Splitting (APS) taking place at the Lund Nano Lab exhibition stand in the ALD Ireland 2016 Industry Exhibition.

Video from presentation invited given by Dr. Dmitry Suyatin LINK. Staff Engineer at Lund Nano Lab and CTO of AlixLabs AB and on the Scientific Committee of AVS ALE.


A possible starting point of ALE Pitch Splitting explained best using Guinness Beer Barrels.


Prof. Samuelson from Lund University opening ALD Ireland 2016


Dr. Simon Elliott, main Chair of the Conference, teaching us how to tap a Guinness with a perfect solid head. Then at Tyndall National Institute and now Director of  Atomic Level Simulation at Schrödinger.


Dr. Dmitry Suyatin AlixLabs to be CTO at the Poster Session after his invited talk on ALE Pitch Splitting (Abstract LINK).


Interesting discussions with the Inventor of the Entegris/ATMI ProEvap Technology, Ravi Laxman, for solid precursor delivery in HVM at all the leading IDMs and Foundries since 2007.


Social Event by Air Liquide and Picosun at The Temple Bar in Dublin


Social Event by Air Liquide and Picosun at The Temple Bar - all enjoying a few pints of Guinness.


Industry panel on the future of ALD and ALE with the leading expertise Prof. Ritala (Helsinki University, Prof Roozeboom (TU Eindhoven/TNO), Dr. Suvi Haukka (ASM Micro Chemistry), Dr. Jean Marc Girard (Air Liquide and Dr. David Thompson (Applied Materials)


Moderation by ALD2016 Co-ChairDr. Jonas Sundqvist, then Operation Manager of Lund Nano Lab.


Green Beam splitting at the Gala Dinner & Award ceremony.


The fantastic Conference Centre in Dublin by night, The Convention CentreDocklands, Dublin 

All photos above by Herr und Frau Dr. Knaut (C)2016 (https://www.katharinaknaut.com/index.php?seite=archiv&name=201608jonasald)


Get your ALD-VIP Coupon Code for The Critical Materials Conference CMC2021, 14-15 April 2021

Hey ALD Folks The Critical Materials Conference CMC2021, 14-15 April is coming up with some really powerful guest that will give you deep insights beyond the typical ALD conference offering on topics lie the semiconductor and global economics, Logic Foundry High Volume Manufacturing, Cost of Quality, Metrology and Big Data Machine Learning and Data Crunching for Plasma ALD.

ALD-VIP Coupon Code: Connect2Techcet-75

Carefully selected and invited talks for the ALD-community!
  • Keynote: Jeanne Yuen-Hum, Vice President of Manufacturing & Operations, and Director of Global Supply-Chain Quality & Reliability, Intel Corporation on "The Cost of Quality"
  • G. Dan Hutcheson & Risto Puhakka, CEO & President, VLSI Research Post Pandemic – Semiconductor Industry Trends, Chaos or Order?
  • David Thompson, PhD, Managing Director, Chemistry & Device Materials, Applied Materials Maximizing Chemical Utilization & Quality in Precursor Delivery
  • Lian-Chen Chi 紀良臻, PhD, Nano-Materials Center Manager, TSMC Materials Quality vs. Technology Ing. Kutup Kurt, PhD, Head of Data Science, MERCK EMD/Versum Power of Hybrid Approach for Data-driven Process Optimization in Semiconductors Industry
  • Hugh Gotts, PhD, International Fellow, Air Liquide ALD & CVD Precursors Metrology and Analytics Trends- Driving toward PPQ
  • Tsuyoshi Moriya, PhD, MBA, VP Advanced Data Planning, Corporate Innovation Division, TEL The Impact of Machine Learning on Processes & Materials

As a bonus the 1st day we will have a virtual round table discussion on Materials Roadmaping in the industry. All participants are welcome to join.

Conference web for registration and agenda: https://cmcfabs.org/agenda-2021/



Tuesday, March 9, 2021

CN1 from South Korea showing all their ALD tools in a new video

Here is a nice new promotional video on CN1 ALD product offering showing a lot of detail into chambers and gas boxes for all you ALD geeks out there! CN1 was established in 2008 in Gyeonggi-do South Korea, and has a very broad ALD product portfolio including single water reactors, cluster tools and large batch ALD furnaces called Mega. Please find their complete offering here: LINK. According to the information in the video they have exported ALD tools across the globe to USA, Russia, Singapore, China and more.



I like CN1 very much since amongst all the great Laboratories in South Korea they also link to The BALD Engineering ALD Blog and I am also very proud to be listed as one of the two "The Best ALD News Blogs"! LINK Now I also know what the B in BALD really stands for :-)





ALD Stories podcast with Dr. Katja Väyrynen, Process Development Engineer at Beneq

On this episode of the ‘ALD Stories’ podcast, We speak to Dr. Katja Väyrynen, Process Development Engineer at Beneq. What is the latest ALD research on improving the performance of microchips? Will cobalt become a popular material in semiconductor manufacturing? Hear her journey from the video above and read more about ALD Stories here: https://beneq.com/en/podcast/


Tutorial - ALD for energy conversion and storage applications, Prof. Adriana Creatore - Eindhoven University of technology

Atomic Layer Deposition for energy conversion and storage applications by Prof. Adriana Creatore - Eindhoven University of technology. The tutorial was given at Solliance Day 2021 - 28 January 2021 Workshop sessions.



April 6-8 5th Area Selective Deposition Workshop (ASD 2021)

In an effort to help facilitate the progression of ASD techniques, The University of Texas at Austin University is proud to host the 5th Area Selective Deposition Workshop (ASD 2021), which will be held on April 6-8, 2021. The Area Selective Deposition Workshop scheduled for April 2-3 (ASD 2020) was postponed in March 2020 due to public health concerns relating to the coronavirus disease (COVID-19) and was later canceled in anticipation of ASD 2021. ASD 2021 retains much of the character of previous workshops and what was intended for ASD 2020, albeit in a virtual format. This year’s workshop will act as a central event for sharing and discussing the newest developments in ASD by gathering leading experts from both academia and industry. Attendees can expect to participate in talks regarding fundamental challenges related to recent developments in ASD, applications for ASD in next-generation technology, emergent processes for implementing ASD techniques, and new perspectives on metrological and characterization strategies for further understanding persistent mechanistic challenges.
Key Deadlines:
Early Registration Deadline: March 16, 2021
Questions? Contact ekerdt@utexas.edu



The workshop will begin at 10:00 am (CST) and end at 3:00 pm on April 6 and 7 and at 2:00 pm on April 8. Times have been selected to enable participation for registrants spanning the West Coast to Europe. The workshop will include live sessions consisting of invited and contributed talks and panel discussions. A session moderator will ask questions that are entered onto the meeting presentation platform from registrants. Live poster sessions will be held after the oral presentation sessions on April 6 and 7; poster presenters will preload five-minute oral highlights of their poster one week before the workshop.

Invited speakers:
    • Chris Bates, UC Santa Barbara, USA
    • Fabio Grillo, ETH Zurich, Switzerland
    • Ravi Kanjolia, EMD Electronics, USA
    • Shashank Misra, Sandia National Labs, USA
    • Ainhoa Romo Negreira, TEL, Belgium
    • Tania Sandoval, Universidad Técnica, Chile
    • Kavita Shah, Nova, USA
    • Amy Walker, UT Dallas, USA
    • Charles Wallace, Intel, USA

Saturday, March 6, 2021

ASM International confirms that ALD HKMG is in High Volume Manufacturing for DRAM - The 2nd Switch is on!

I get this question continuously and also use it for modeling the high-k precursor forecast as provided by TECHCET - has ALD High-k/Metal Gate stacks moved into DRAM peripheral transistors?

When at Qimonda (R.I.P) we developed a HfSiO process or the peripheral Logic and qualified a number of OEMs for this one including ASM Pulsar 3000, Jusung Cyclone+ Spatial ALD, and TEL Furnace ALD. ASM has since Intel 45 nm been the leader in the HKMG module. Later they also fended off the competition from Applied Materials and Tokyo Electron MOCVD option trying to enter the foundries that were just too hot for the integration moving to lower thermal budgets. 

So now finally I can give an answer with a public reference to the question - yes ALD is in HVM for DRAM HKMG peripheral transistors! The Switch is on also for DRAM - have a nice weekend!

Benjamin Loh (ASMI CEO), answers on financial analyst question about if ASM has ALD tools in the field for DRAM high-k/metal gate:

"Mark thanks. So, of course, first of all, maybe let's talk about the memory parts of, in DRAM we started quite some time ago, we have been qualified for the high-k/metal gate in the DRAM periphery transistor. So right now, what you see for example, and what is called in the industry as high-performance DRAM. I think they are using our ALD for the mass for the high-volume manufacturing." 

Please find the full Q4/2020 investor call transcript here provided by Seeking Alpha: LINK (you have to create a profile to get full access)


TEM images of (A) 30 nm and (B) 65 fin height, of 15nm fin width, as used in a recent Imec study of HKMG FinFETs for peripheral DRAM Logic. DOI: 10.1109/IIRW47491.2019.8989914 Conference: IEEE International Integrated Reliability Workshop, IIWR'19 At: Stanford Sierra Conference Center Fallen Leaf Lake Tahoe, CA, USA


ASM’s Pulsar uses ALD to deposit the high-k dielectric materials required for advanced CMOS transistor gates ​and other applications. Pulsar is the benchmark ALD high-k tool for the industry. It was the first ALD system to be used for high-volume production at advanced customers for high-k metal gate transistors. (www.asm.com)

Thermal ALE of germanium rich SiGe by CU Boulder and ASM Microchemistry

Epitaxially grown SiGe is an important material for CMOS Logic. It is integrated as the channel material and by inserting a higher concentration of germanium the mobility of the transistor can be improved. The industry calls it Epi, but what is really referred to a thermal CVD process producing an epitaxially grown layer of silicon or silicon-germanium onto a single crystalline silicon wafer.

As CMOS scaling has progressed the IDMs and Foundries have moved from the planar field-effect transistor (FET) architecture to a narrow fin-based transition the FinFET. The next evolutionary step on the horizon will be the transition to a nanowire-based architecture forming a gate-all-around FET (GAA-FET). At some point in time beyond the 2 nm node, the lateral scaling possibility will hit a wall and it is foreseen that the CMOS scaling will gup upwards like other technologies in order to cram in more devices per unit area. In a first approach, it may be that the NMOS and PMOS transistors are rearranged from being processed next to each other to put one of them on top of the other. Intel recently presented this at IEDM2020 (LINK). Having done that you can foresee continuing on a vertical scaling path also for CMOS just like 3DNAND and start to build those skyscrapers.

When going vertical, you will need highly conformal deposition processes as provided by ALD and in high volume production since the event of 90 nm DRAM (Samsung) and 45 nm Logic (Intel), however, etch is a problem since the reactive ion etching process are typically directional with the plasma under low-pressure processing conditions used. Also, the Argon plasma ALE processes to etch Silicon, silicon Germanin gallium nitride, and III/V materials are directional or anisotropic as the etch guys say or non-conformal as we ALD people say.

Typically the best way to achieve isotropic etch conditions, meaning you remove material at the same rate or as for ALE the same amount per cycle (etch per cycle EPC), is to skip the plasma that causes the anisotropic etch. Here Dr Abdulgatov and co-workers in the famous SM George Lab, CU Boulder together with Varun Sharma and friends from ASM Microchemistry, one of Dresden's best shining ALD-Stars, publish a paper on Thermal ALE of germanium rich SiGe that is quite clever. Here using PVD Si0.15Ge0.85 samples, which are difficult to make by Epi due to the high Ge content. I think we will see more of this for also GaN, SiC and III/V materials coming up.


AI Abdulagatov, V Sharma, JA Murdzek, AS Cavanagh, SM George
Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films

Abstract: The thermal atomic layer etching (ALE) of germanium-rich SiGe was demonstrated using an oxidation and “conversion-etch” mechanism with oxygen (O2) or ozone (O3), hydrofluoric acid (HF), and trimethylaluminum [TMA, Al(CH3)3] as the reactants. The crystalline germanium-rich SiGe film was prepared using physical vapor deposition and had a composition of Si0.15Ge0.85. In situ spectroscopic ellipsometry was employed to monitor the thickness of both the SiGe film and the surface oxide layer on the SiGe film during thermal ALE. Using a reactant sequence of O2-HF-TMA, the etch rate of the SiGe film increased progressively with temperatures from 225 to 290 °C. At 290 °C, the SiGe film thickness decreased linearly at a rate of 0.57 Å/cycle with a surface oxide thickness of 18–19 Å. This etch rate was obtained using reactant pressures of 25, 0.2, and 0.4 Torr and doses of 1.5, 1.0, and 1.0 s for O2, HF, and TMA, respectively. The TMA and HF reactions were self-limiting and the O2 reaction was reasonably self-limiting at 290 °C. Using an O3-HF-TMA reaction sequence, the SiGe ALE etch rate was 0.42 Å/cycle at 290 °C. This etch rate was obtained using reactant pressures of 15, 0.2, and 0.4 Torr and dose times of 0.5, 1.0, and 1.0 s for O3, HF, and TMA, respectively. The O3, TMA, and HF reactions were all self-limiting at 290 °C. Atomic force microscopy images revealed that thermal ALE with the O2-HF-TMA or O3-HF-TMA reaction sequences did not roughen the surface of the SiGe film. The SiGe film was etched selectively compared with Si or Si3N4 at 290 °C using an O2-HF-TMA reaction sequence. The etch rate for the SiGe film was >10 times faster than Si(100) or Si3N4 that was prepared using low-pressure chemical vapor deposition. This selectivity for the SiGe film will be useful to fabricate Si nanowires and nanosheets using SiGe as the sacrificial layer.

Full text open source: LINK


Figure from Journal of Vacuum Science & Technology A 39, 022602 (2021); https://doi.org/10.1116/6.0000834

Friday, March 5, 2021

EMD Performance Materials announces further investments of electronics business and new name: EMD Electronics

  • New name reflects the product and service portfolio designed to enable the future of electronics in a data-driven world
  • Investment into R&D and innovation centers in Tempe and Silicon Valley
EMD Performance Materials today announced an expanded focus on the US electronics business and a new name in the US: EMD Electronics. EMD Electronics, a business of Merck KGaA, Darmstadt, Germany, includes a broad portfolio of semiconductor materials, semiconductor delivery systems and services, display, and surface solutions. The Electronics business globally employs more than 7,400 – with a third of employees in the US across 29 sites, with plans for continued growth in planarization and thin films organizations following recent investments. More information about the Electronics business can be found here.


Additionally, the company announced the relocation of the Silicon Valley Innovation Hub from Menlo Park to Intermolecular's San Jose facilities, combining Merck KGaA, Darmstadt, Germany's innovation efforts in the Bay area with Intermolecular's services for materials and electronics, creating a unique space that empowers collaboration with startups. This announcement follows the $22 million investment at the EMD Electronics site in Tempe, Arizona for its R&D and production for semiconductor materials announced in February.


"Our name change and investment in these centers demonstrate our commitment to continued innovation in electronics and supporting US customers' requirements for capitalizing on growing opportunities driven by digital transformations and data-driven electronics," said Jeff White, President of EMD Electronics. "Our customers are working on cutting-edge technologies and products that range from better immersive displays and surfaces in cars and consumer electronics to how to move neuromorphic and quantum computing to the next level. Our combined expertise and portfolio in display and surface innovations, semiconductor materials and the safe delivery and storage of speciality chemicals and gases will enable new discoveries and novel products not even imagined yet."

As the company behind the companies advancing digital living, the Electronics business sector is involved in all major technology trends – be it 5G, Big Data, autonomous driving, artificial intelligence, or the Internet of Things. Thanks to these and other megatrends, the demand for ever smaller, faster and more energy-efficient electronics is continuously growing. Sample innovations enabled by EMD 

Electronics include:
  • Patterning, deposition and spin-on dielectrics materials to make 3D NAND possible
  • DSA – revolutionary way of building microchips of the future
  • OLED for brighter, thinner, free-form displays
  • Liquid crystals for electronic steerable antennas to bring connectivity to places currently not reachable
  • eyerise ® liquid crystal for greener windows and innovative building architecture
These technologies and innovations are being implemented across a diverse set of customers including larger companies and start-up companies. To enable new inventions for start-ups, EMD Electronics has launched a program for early-stage and growth companies looking to advance their technologies in the areas of displays, semiconductor materials, neuromorphic computing, AI enabled materials development and smart manufacturing by applying for the EvoNexus MarketLink Program by March 12.

Monday, March 1, 2021

Welcome to the RASIRC ALD Oxide Wizard

Welcome to the RASIRC ALD Oxide Wizard. The wizard compares the reactivity of water and hydrogen peroxide for 285 different precursors.
  • Direct theoretical comparison between water and hydrogen peroxide
  • Allows for rapid screening of possible precursors
  • Allows for lower cost precursors to be used where previous water reactivity was too low
  • Allows for visualization of precursors to better understand steric hindrance effects
Starting with the five of the most common metals used in Atomic Layer Deposition (ALD) and 57 common ligands, the wizard creates the desired precursor, visualizes the precursor molecule, and concludes with a determination of reaction energetics (favorable/less favorable) in terms of intrinsic energy changes ∆E added or released in the creation of the metal oxide with H2O2 or water. The wizard allows you to make modifications to the ligand and see the effects on reactivity. Edit your design as many times as you want; when you have your desired reaction, choose the print option.

Enter The RASIRC ALD Oxide Wizard HERE!


Example using the HfI4 H2O vs H2O2 going from -30.3 to -180.5 kJ/mol - The more negative the value, the more thermodynamically likely the reaction is to occur.