Thursday, September 24, 2020

Picosun’s ALD technology boosts UVC LED performance

  • In order to reach maximum light output and long operating lifetime, LED chips require surface passivation to eliminate parasitic currents caused by traps and defects
  • ALD passivation layer could potentially replace the expensive hermetic seal package of the LEDs and thus lower the costs of the final device.
  • Short-wavelength UVC radiation destroys bacteria and viruses so UVC LED technology is particularly topical now during the still ongoing COVID-19 pandemic. 

ESPOO, Finland, 24th September 2020 – Picosun Group, the leading supplier of AGILE ALD® (Atomic Layer Deposition) thin film coating technology, reports excellent results in UVC (ultraviolet-C) LED performance, achieved with the company’s ALD solutions.

Excellent reliability and lifetime improvements of UVC LEDs have been obtained at Picosun’s customer and collaboration partner site, National Chiao Tung University (NCTU), Taiwan, using passivation and barrier films deposited with PICOSUN® ALD equipment(*). ALD passivation layer could potentially replace the expensive hermetic seal package of the LEDs and thus lower the costs of the final device.

 

“We have used Picosun’s ALD technology already for years with great success. Our PICOSUN® ALD equipment yields superior quality films which has helped us to achieve several breakthroughs in our LED research. Picosun has local presence in Taiwan and we appreciate the prompt response of their customer support if we ever have any issues. At the phase when R&D results are to be ramped up to industrial-level production, the scalability of Picosun’s ALD technology is a huge benefit,” comments Professor Hao-Chung Kuo from NCTU.

In order to reach maximum light output and long operating lifetime, LED chips require surface passivation to eliminate parasitic currents caused by traps and defects. Also barrier coating is typically needed as LED materials are sensitive to moisture. ALD is an ideal technique to manufacture both the passivation and barrier films – and when the LED size diminishes to micrometer dimensions, the only coating method capable of producing high enough quality films on the required minuscule scale. Ultra-thin, pinhole-free ALD films do not suppress the LED light intensity and they provide reliable protection against ambient conditions, whereas their superior conformality ensures no thickness variations between the facets of the LED chip. Thickness variations, typical side effect of other coating methods, can potentially lead to uneven distribution of film stress or thermal expansion behavior and risk physical damage of the chip.

Short-wavelength UVC radiation destroys bacteria and viruses so UVC LED technology is particularly topical now during the still ongoing COVID-19 pandemic. Small, lightweight LEDs enable versatile design of portable, compact disinfecting equipment, they consume less power than other UVC sources, they are durable, and they pose no risk of hazardous material leaks such as e.g. mercury lamps.

“We are happy of the achievements of Professor Kuo’s group at NCTU, and how Picosun’s ALD technology has helped them to achieve their goals in UVC LED development. Our long-term collaboration and networking with both the academia and prominent industries in this field gives us the perfect synergy advantage to facilitate implementation of these solutions in industrial manufacturing,” says Mr. Edwin Wu, CEO of Picosun Asia Pte. Ltd.

(*) UVC LED with 50 nm ALD Al2O3 passivation and normal LED packaging (no hermetic seal) maintained 80% of its original efficiency even after 500 hours environmental test at 85% humidity and 85 oC temperature.

Wednesday, September 23, 2020

Reverse Engineering by der8auer - Intel 14nm and AMD/TSMC 7nm transistors compared

Overclocking expert der8auer examined an Intel Core i7-8700K under a scanning electron microscope (SEM) a couple of years ago when that processor was one of Intel's best consumer offerings. This was an interesting exercise but in a recent YouTube video series der8auer has been leading up to a direct comparison between the current state-of-the-art Intel Core i9-10900K and the AMD Ryzen 9 3950X. The former is an Intel 14nm+++ production chip and the latter made for AMD by TSMC on its 7nm process.


 

Tuesday, September 22, 2020

ALD Stories - the untold stories of atomic layer deposition and the people behind the technology

Beneq launch ALD Podcast - Welcome to ALD Stories, a series of conversations where we share the untold stories of atomic layer deposition and the people behind the technology.

In the first episode, we are joined by Patrick Gonzalez an expert in high-performance thin films. We discuss about using spatial ALD on electrochromic windows for environmental and cooling cost benefits.


 Follow ALD Stories on Spotify | Google Podcasts | Apple Podcasts | YouTube

Why Beneq created this podcast

Today there are over 60,000 patents worldwide involving Atomic Layer Deposition. However, despite the vast amount of research and development there are only a handful of public conferences where we can meet our fellow researchers and hear more about the work they have done.

 

Semiconductor Materials Market to Hit $50B in 2020 Up 3% Winds Reverse on the Global Supply-Chain Seas

September 21, 2020: TECHCET announces that 2020 global materials revenues in semiconductor fabrication are now forecasted upward year-over-year (YoY) despite potential disruptions to manufacturing:

  • Overall revenues +2.8% to hit over $50B, versus outlook in April for -3%,
  • Front End Materials +5% to hit $16.4B, and
  • Equipment Components +10% to hit $3.8B.

While the impact of COVID-19 on the global economy is serious, IC fabrication is steady for devices to Work From Home (WFH) and School From Home (SFH). As predicted, leading-edge ICs to build out data centers are in strong demand this year, as part of forecasted 5.4% Compound Annual Growth Rate (CAGR) for fab materials through the year 2024 (Figure below).

“TECHCET now sees Front-End Materials volumes and revenues for the year 2020 to be buoyed up by cloud computing and devices to support Work From Home and School From Home,” remarked Lita Shon-Roy, TECHCET President and CEO.

For Critical Materials Reports™ and Market Briefings: https://techcet.com/shop/

To register for 2020 CMC Conference: https://lnkd.in/eARPxRJ


 

Enabling Next-Generation Power Devices and ALD

 

Wednesday, October 7, 2020

4:00 PM – 5:00 PM CET

If you can't attend the live session,
register for access to a recording for later viewing.

 

Join this free webinar to learn more about:

  • Automotive, consumer electronics and other markets driving demand for power devices
  • Power device technology, product design and manufacturing trends
  • Insights into fast-growing power technologies such as silicon based SJ-MOSFET or IGBT, wide bandgap materials SiC, and GaN
  • Advantages of ALD over alternative deposition methods in the manufacturing process
  • Key buyer considerations when selecting a new ALD tool for power device manufacturing

Who should attend

  • Power device manufacturers
  • Engineers looking to learn more about ALD solutions
  • Power device buyers

Join the live interview!

Patrick Rabinzohn

Patrick Rabinzohn, Ph.D
Business Executive, Semiconductor Business Line, Beneq

Clark Tseng

Clark Tseng
Director, Industry Research & Statistics, SEMI

 Sponsored by

Beneq

Monday, September 21, 2020

Lam Research launch the advanced Striker® FE platform for 3D chip architectures like 3DAND and DRAM

  • Lam has been leading gap fill for a long time and their new proprietary surface modification technique (ICEFill) to achieve highly preferential bottom-up and void-free gapfill while retaining the film quality inherent to atomic layer deposition (ALD).
  • The platform is specially prevalent in 3D NAND devices, as well as prevents collapse issues in DRAM and logic devices.
  • The Striker FE platform with ICEFill technology is part of the Striker ALD product family.
  • Lam applied for ICEFill USPTO Trade Mark February 2020 (LINK)
New Striker® FE enhanced atomic layer deposition platform addresses semiconductor manufacturing challenges for 3D NAND, DRAM, and Logic chipmakers

FREMONT, Calif., Sept. 21, 2020 (LINK) -- Lam Research Corp. (Nasdaq: LRCX) today announced the advanced Striker® FE platform, a new processing solution for manufacturing high-aspect-ratio chip architectures. Striker FE utilizes an innovative, first of its kind ICEFill™ technology for filling extreme structures in 3D NAND, DRAM, and logic devices at emerging nodes. This system delivers the continued cost and technology scaling that is required to meet the semiconductor industry roadmap.

 


Keyword Cluster for Lam Research Gapfill (Patbase) 
 
Lam Research ALD Product family Striker.

Traditional methods of gapfill for semiconductor manufacturing include legacy chemical vapor deposition, diffusion/furnace, and spin-on processes. These techniques are no longer viable for today’s 3D NAND requirements, as they are limited by the tradeoffs between quality, shrinkage, and gapfill voids. In contrast, Lam’s Striker ICEFill harnesses a proprietary surface modification technique to achieve highly preferential bottom-up and void-free gapfill while retaining the film quality inherent to atomic layer deposition (ALD). The ICEFill technology removes the existing limitations for filling high-aspect-ratio features which are especially prevalent in 3D NAND devices, as well as prevents collapse issues in DRAM and logic devices.

 


“Our goal is to provide customers with the most enabling ALD technology,” said Sesha Varadarajan, senior vice president and general manager of the Deposition product group at Lam Research. “This technology combines the ability to produce high quality oxide films with superior gapfill performance, in a single processing system with the productivity advantages offered by our industry leading quad station module architecture.”

The Striker FE platform with ICEFill technology is part of the Striker ALD product family. For more information about the Striker product family visit the product page.

Hafnium (IV) oxide obtained by atomic layer deposition (ALD) technology promotes early osteogenesis

ALD HfO2 is very versatile, first, it saved the whole semiconductor industry (HKMG Technology) and now it has been shown that an ALD HfO2 layer can be applied to cover the surface of metallic biomaterials in order to enhance the healing process of osteoporotic bone fracture. The ALD HfO2 was deposited using the famous Veeco CNT Savannah reactor.

 

 Veeco CNT Savannah ALD reactor (LINK)

 

Hafnium (IV) oxide obtained by atomic layer deposition (ALD) technology promotes early osteogenesis 

A. Seweryn, M. Alicka, A. Fal, K. Kornicka-Garbowska, K. Lawniczak-Jablonska, M. Ozga, P. Kuzmiuk, M. Godlewski & K. Marycz Journal of Nanobiotechnology volume 18, Article number: 132 (2020) 

https://jnanobiotechnology.biomedcentral.com/articles/10.1186/s12951-020-00692-5

Friday, September 18, 2020

SALD deposits trust in new advisory board chaired by Prof. Kessels

Spatial atomic layer deposition equipment manufacturer SALD has set up an advisory board, which, apart from acting as a sounding board to management, will also have an ambassadorial function

The advisory board is chaired by Prof. Erwin Kessels, leader of the Plasma & Materials Processing group at Eindhoven University of Technology. He’s joined by marketing consultant John Niemeijer of The Brandhouse, innovation consultant Peter Visser of Innovisser and accountant Werner Vervest of Vervest Financial Services.

Full article: SALD deposits trust in new advisory board (LINK)
 
Prof. Erwin Kessels was the Awardee of the AVS 2019 ALD Innovator award “For Original Work and Leadership in ALD" (https://ald2019.avs.org/awards/)
 
 

Area-Selective ALD of TiN Using Aromatic Inhibitor Molecules for Metal/Dielectric Selectivity

Just making sure that you have not missed this important and amazing publication from Merkx et al at TU Eindhoven since I missed it for more than a month by now. Selective ALD of TiN  -  woah so beautiful! TiN CVD and ALD is used just about  everywhere in  wafer based-device fabrication:

  • Metal diffusion barriers e.g. for Tungsten (NAND, DRAM, Logic)
  • Metal Gates (HKMG, Workfunction tuning, etc.)
  • Capacitor Electrodes (DRAM, ReRAM, FRAM)
  • Hard mask in BEOL processing
  • And much more 

Please also check out the supporting information on how to create those test samples for the actually selectivity experiments  - I just say it´s done in a very clever way (LINK) .

Area-Selective Atomic Layer Deposition of TiN Using Aromatic Inhibitor Molecules for Metal/Dielectric Selectivity Chemistry of Materials  

Pub Date : 2020-08-13, DOI:10.1021/acs.chemmater.0c02370

Marc J. M. Merkx; Sander Vlaanderen; Tahsin Faraz; Marcel A. Verheijen; Wilhelmus M. M. Kessels; Adriaan J. M. Mackus

Despite the rapid increase in the number of newly developed processes, area-selective atomic layer deposition (ALD) of nitrides is largely unexplored. ALD of nitrides at low temperature is typically achieved by employing a plasma as the coreactant, which is not compatible with most approaches to area-selective ALD. In this work, a plasma-assisted ALD process for area-selective deposition of TiN was developed, which involves dosing of inhibitor molecules at the start of every ALD cycle. Aromatic molecules were identified as suitable inhibitor molecules for metal/dielectric selectivity because of their strong and selective adsorption on transition metal surfaces. A four-step (i.e., ABCD-type) ALD cycle was developed, which comprises aniline inhibitor (step A) and tetrakis(dimethylamino)titanium precursor (step B) dosing steps, followed by an Ar–H2 plasma exposure (step C), during which a substrate bias is applied in the second half of the plasma exposure (step D). This process was demonstrated to allow for ∼6 nm of selective TiN deposition on SiO2 and Al2O3 areas of a nanoscale pattern with Co and Ru non-growth areas. The TiN deposited using this ABCD-type process is of high quality in terms of resistivity (230 ± 30 μΩ cm) and impurity levels. This developed strategy for area-selective ALD of TiN can likely be extended to area-selective ALD of other nitrides.


 

Process Power: The New Lithography - Advanced Energy

Here is a very insightful article by PETER GILLESPIE, VP & GM, Semiconductor Products, Advanced Energy Industries on the progress of Plasma RF Generators and Matching Networks. The article looks at applications in 3DNAND High Aspect Ratio Contacts (HARC) and Logic FinFET transitor fabrication using reactive ion etching and plasma CVD using the latest plasma technology. This is a an articel in a series of three in SEMICONDUCTOR DIGEST entitled “Process Power Steps Out from the Shadows,” looking at the leading edge technology node process challenges to highlight key drivers that are fundamentally transforming the role and importance of process power. 

Process Power: The New Lithography (SEMICONDUCTOR DIGEST, LINK

 

"Evolution of RF power supplies (plasma generators) and RF matching networks. Today’s RF power delivery systems are highly sophisticated with frequency tuning, complex pulsing regimes, and agile micro-second response." (Below)


 

 

Thursday, September 17, 2020

JVST A | Special Collection: Atomic Layer Deposition and Atomic Layer Etching - Call for Papers

The Journal of Vacuum Science and Technology A is soliciting research articles for publication in Special Topic Collections on Atomic Layer Deposition and Atomic Layer Etching. These special topic collections are planned in collaboration with ALD 2020 and the ALE 2020 Workshop, which were held virtually on June 29—July 1, 2020. Each year, in concert with the annual Atomic Layer Deposition (ALD) meeting and Atomic Layer Etching (ALE) Workshop, the Journal of Vacuum Science and Technology A publishes collections of articles covering the most recent developments and experimental studies in ALD and ALE. 
 
 

 
 
These ALD and ALE Special Topic Collections will include papers presented at ALD 2020 and the ALE 2020 Workshop, as well as other ALD and ALE research articles that were not presented at this conference but are submitted to the special collections. 
 
The Collections feature articles dedicated to the science and technology of atomic layer controlled deposition and etching. Authors are encouraged to use the JVST article template. During submission, you will have an opportunity to tell us that your paper is a part of one of the Collections by choosing either the Special Topic or Conference Collection on “Atomic Layer Deposition (ALD)” or “Atomic Layer Etching (ALE).”

Monday, September 7, 2020

Intermolecular - Inherently Ferroelectric Films by ALD Using ZrD-04 and HfD-04

Intermolecular, now part of MERCK, is updateing their R&D research/news blog on regular basis. Here is recent (ALD2020) presentaion on the results of Vijay Kris Narasimhan's research that provide a pathway to developing ferroelectric devices in which the electrodes and thermal processing conditions of the entire stack can be optimized independently with minimal effects on the ferroelectric layer.

Learn more (including embedded PowerPoint presentation): LINK


 

 

Call for papers - JVSTA Special Issue on Area-Selective Deposition

As announced by Prof. Kessels: The Journal of Vacuum Science & Technology A is collecting manuscripts to be published in the Special Issue on Area-Selective Deposition. The deadline is September 22. The Guest Editors are Tania Sandoval and Adrie Mackus. Please visit: LINK
 


Impacts of the US-China trade conflict on the semiconductor equipment market and ALD

Here is an interesting review article  (Seeking Alpha, LINK) covering the trade war between the US and China and the implications on the semiconductor wafer equipment market and the leading OEMs in the US, Asia and Europe. According to the article the confilict strated as a US strategy to reduce the deficit of bilateral trade but is now more about unfair trade practices for technology, IP theft, and prevention in the growth of China’s military strength.

My readout, from an ALD viewpoint, the main OEMs in China are Naura and Shenyang Piotech competeing with mainly ASM International, Tokyo Electron, Kokusai, and South Korean OEMs. If you study the latest quarterly reports from both ASM and TEL you can see that both companies are growing in China. Also Picosun reports increased sales in China, meaning that the China ALD market is defenitly growing and US OEMs risk to be be excluded to participate.

According to the article, a further escalation of the US-China tarde conflict may put the Applied Materials takeover of Kokusai at risk. Besides Batch LPCVD, Kokusai is controlloing the ALD Batch market together with TEL with ASM mainly as a follower. 

ALD has been proven to be a critical technology for Logic and Memory scaling and the cost for continious research and development is high and need to be financed by equipment sales like any leading edge processing technology. Traditionally, Intel has always been a leader in implementing new ALD technology and one of the drivers behind why ASM invested in ALD technology from Finland (Microchemistry) and Plasma ALD from South Korea (Genitech). However, Intel of today does not have the same power as Intel had back then 15 to 20 years ago. If the US-China clash continuous both Applied Materials and Lam Research risk losing market share in the ALD space. You can imagine that a similar risk is there for Etch and Clean. However, for PVD, CMP and metallization processing technology Applied Materials is still very much in control so in this segment I suspect that it will be a a different game. Please check out the article for the big picture!

Article in Sumary:

  • Recent U.S. Department of Commerce restrictions threaten exports of foreign semiconductor equipment suppliers.
  • The uncertainty is creating significant confusion among equipment suppliers, not just in the U.S., but in Japan, Europe, and elsewhere.
  • Current Chinese equipment companies have the capability of processing semiconductor chips down to the state-of-the-art 5nm node.
  • Besides economic ad political ramifications, restrictions could escalate IP theft as Chinese manufacturers reverse engineer superior foreign equipment.
  • Applied Materials will be most impacted by export restrictions of all foreign suppliers, and restrictions will halt the acquisition of Hitachi Kokusai Electric.

Full article: China Equipment Suppliers' Threats For Applied Materials And Peers, by Robert Castellano

 

 Examples of the 300 mm ALD tools offered by Naura (left: Polaris CCP-PEALD for dielectrics and TiN) and Shenyang Piotech (right: FT-300T, PEALD and thermal ALD single wafer for dielectrics and high-k). Naura has additional tools fot thermal single wafer ALD for gate stack and capacitor materials as well as a R&D tool called Promi (below).


 

Friday, September 4, 2020

CMC 2020 anounce sevreal new speakers from Semiconductor Fabs!

 The Critical Materials Council and its annual Conference CMC headed by TECHCET is Semiconductor Fab centric and we are happy to announce many speakers from the Fabs this year (21-22 October):


- 4 Speakers from Intel: Key Note by Bruce Tufts, Vice President of Technology and Director of Fab Materials Organization
- Micron: Shaun Miller, Director, Global FE Procurement Material
- Infineon: Silke Sorger, Senior Director of Purchasing/FE Materials, Facility Management
- Texas Instrument: Phil Hecker, WPL Supplier Quality Director.
- Fraunhofer IPMS: Wenke Weinreich, Head of Business Unit IOT Components & Systems

Please check out the CMC 2020 Agenda and you are most welcome to register: https://cmcfabs.org/agenda/

 


 

Beneq ALD for Power Devices

Atomic Layer Deposition (ALD) provides damage-free surface preparation, and is capable of depositing a variety of high-k dielectric layers with excellent step coverage and quality. 

Beneq ALD provides damage-free surface preparation and deposition of a variety of high-k dielectric layers with excellent step coverage and quality. Gate dielectric stacks deposited by ALD using Beneq Transform™ enable next generation Si, GaN and SiC Power Devices

Read more: LINK 


 

Wednesday, September 2, 2020

TechInsights’ Memory Process: 3D NAND Word Line Pad webinar

TechInsights’ ‘Memory Process: 3D NAND Word Line Pad‘ #webinar compares 9x-layer 3D NAND devices from major manufacturers and discusses the process sequence with emphasis on the word line pad (WLP). Watch on demand here LINK 

Screendump from Webinar

ALD is now set up as a strong candidate to realise ALD indium nitride based electronics

Research from Linköping University in Sweden headed by Prof. Henrik Pedersen paves the way for ALD is now set up as a strong candidate to realise ALD indium nitride based electronics. 

Direct epitaxial nanometer-thin InN of high structural quality on 4H–SiC by atomic layer deposition 

Appl. Phys. Lett. 117, 093101 (2020); https://doi.org/10.1063/5.0014900
 
Indium nitride (InN) is a highly promising material for high frequency electronics given its low bandgap and high electron mobility. The development of InN-based devices is hampered by the limitations in depositing very thin InN films of high quality. We demonstrate growth of high-structural-quality nanometer thin InN films on 4H–SiC by atomic layer deposition (ALD). High resolution x-ray diffraction and transmission electron microscopy show epitaxial growth and an atomically sharp interface between InN and 4H–SiC. The InN film is fully relaxed already after a few atomic layers and shows a very smooth morphology where the low surface roughness (0.14 nm) is found to reproduce sub-nanometer surface features of the substrate. Raman measurements show an asymmetric broadening caused by grains in the InN film. Our results show the potential of ALD to prepare high-quality nanometer-thin InN films for subsequent formation of heterojunctions.

Prof. Henrik Pedersen: Very happy that our paper "Direct epitaxial nanometer-thin InN of high structural quality on 4H–SiC by #ALDep" is published as an Editor's Pick in Applied Physics Letters. Great work by Chih-Wei Hsu and Dr. Petro Deminskyi and excellent TEM by Justinas Palisaitis. ALD is now set up as a strong candidate to realise InN based electronics.

X-ray diffractograms of 2θ-ω scan of InN films grown with different numbers of ALD cycles on 4H–SiC (0001). The number of ALD cycles and their corresponding film thicknesses determined by fringes are indicated, respectively, in the plot. The curves are plotted on the log scale and are shifted vertically for visual clarity. (Image from LinkedIn)

Tuesday, September 1, 2020

Epiluvac from Sweden launch MOCVD - ALD Combo reactors for Wide Band Gap Epi materials

Epiluvac from Sweden has extended it offer for 200 mm Sic and GaN Wide Band Gap (WBG) Epitaxy reactors for SiC and GaN to include also ALD process mode. This is very impressive since there are very few that can master the two most advanced CVD processes on the market, MOCVD Epitaxy resp. ALD and now even in the same reactor!

From an ALD point of view it is also very unusaul to have the possibilioty to process at high temperature. Most ALD reactors operate in the range 70 to 600 °C, but Epiluvac reactors can go up all the way to 1800 °C.

SiC (Epiluvac ER3-C1) or GaN (Epiluvac ER3-N1) epitaxy system (https://epiluvac.com/sic/)

• Up to 200 mm (8”) wafer diameter.
• Excellent uniformity through hot-wall topology, uniform gas flow and cell temperature profiling.
• Up to 1800 °C
• Quartz-free and ready for chlorinated processes.
• Hot wafer loading/unloading in a clean inert atmosphere minimizes particle contamination.
• Modular design with cluster configuration and several automation options.
• Suitable for low/medium volume production and R&D.