Friday, June 28, 2019

TSMC has presented several research papers at the VLSI Symposium held in Japan.

TSMC has presented several research papers at the VLSI (Very-large Scale Integration) Symposium held in Japan

At the symposium, TSMC covered technologies ranging from pre-package soldering for eMRAM to a new chiplet design based on ARM’s Cortex A72 cores. TSMC also introduced a research paper on tungsten disulphide; a channel material that the fab believes will allow for improved electron flow at 3nm and beyond due to improved 2D electron switching. This tungsten disulphide short-channel transistor is manufactured through chemical vapor deposition directly on the silicon substrate, as opposed to earlier processes that required a sapphire intermediary. One research paper was titled ‘A 7nm 4GHz Arm®-core A72 based CoWoS® Chiplet Design for High-Performance Computing’.
Source: wccftech LINK
----------
By Abhishekkumar Thakur

Picosun accelerates growth with a notable Finnish investment

ESPOO, Finland, June 28, 2019 -- Finnish investors make significant investments in Picosun, a manufacturer of ALD equipment, increasing the company's share capital by 12 million euros. New investors are CapMan Growth fund, Finnish Industry Investment Oy, and Risto Siilasmaa's First Fellow Partners.

Earlier investors in Picosun - Hannu Turunen, and R.Ruth Oy - also make add-on investments in the company, in addition to the company's Board members Prof. Jorma Routti and Dr. Tech. Tuomo Suntola. 


"We are already the world's number one manufacturers of research and other specialised equipment within the ALD field, but we aim to achieve a leading position also in other large ALD production equipment. We are determinedly moving in this direction," says Kustaa Poutiainen, Chairman of the Board at Picosun.

The family office of Kustaa Poutiainen, Stephen Industries Oy, remains the majority investor after the funding round.

ALD, or Atomic Layer Deposition, is a Finnish technology developed by Dr. Tech. Tuomo Suntola, who has been awarded the Millennium Technology Prize. The technology enables the creation of precise films with a thickness of less than a nanometer, which is a prerequisite for the functionality of modern electronics. New applications for ALD are constantly found in e.g. medical technology.

"ALD technology is one of the most significant Finnish inventions and we are proud to participate in the acceleration of Picosun's growth and internationalisation. ALD technology is present in many everyday devices and processes and according to our estimates, the market is growing by almost 30 percent per year in the next five years. Picosun has already proven to be a leading performer in certain industrial verticals and has achieved global recognition. We want to be a part of taking the development to the next level," says Juha Mikkola, Managing Partner of CapMan Growth.

"We entertained several finance options in order to deliver on our growth strategy, but the current outcome was preferred by far. ALD is one of the most influential success stories in Finnish technology. We are really pleased to be able to strengthen our position globally together with experienced Finnish owners," concludes Poutiainen.

Picosun's turnover exceeded 26 million euros last financial year and the company employs more than 100 persons globally. More than 95 percent of the production goes to export.

Thursday, June 27, 2019

Oxford Instruments launches Atomfab®: High volume ALD manufacturing solution for GaN power device passivation

Oxford Instruments Plasma Technology (OIPT) has today launched a revolutionary plasma Atomic Layer Deposition (ALD) high volume manufacturing (HVM) solution delivering a step change needed to address fundamental challenges in the GaN power device industry.

Gallium nitride devices are enabling the next generation of efficient power electronic devices for applications such as compact consumer power supplies, 5G networks, electric vehicles and renewable energy conversion.


GaN devices are more efficient and higher performance than current technologies, however there are manufacturing yield and scalability challenges. These need to be addressed to deliver reliable devices at a competitive cost.

One of the key challenges is a consistently high-quality gate passivation, Atomfab delivers this solution with high throughput and low Cost of Ownership (CoO). 
  • Performance: Excellent passivation and dielectric properties enable the demanding device performance critical for key applications.
  • Plasma: Remote plasma delivers a reproducible GaN interface. Atomfab precisely controls the plasma to protect the underlying sensitive GaN substrate.
  • Pace: High throughput delivered by a high deposition rate process on a high uptime HVM platform specifically developed for GaN power applications.
The significantly reduced cost per wafer that Atomfab delivers is enabled by numerous technical innovations including a patent pending revolutionary fast remote plasma source.

Atomfab fulfils the customer needs on a single wafer platform with SEMI standard cluster configurations and improved process controls for the latest compound semiconductor solutions.

“Atomfab provides many key benefits to our GaN device manufacturing customers including significant CoO reduction, increased yield and excellent film quality & device performance. For many years Oxford Instruments Plasma Technology has been known as the go to supplier for compound semiconductor plasma solutions. We’ve leveraged that knowledge onto a HVM platform to ensure optimum devices are produced all day, every day”, says Klaas Wisniewski, Strategic Business Development Director, OIPT.

Mike Gansser-Potts, Managing Director, OIPT states: “We’ve been highly commended for our unique plasma ALD solutions and have listened to our HVM customers to take these solutions to the next level. We are happy to announce that Atomfab provides these HVM solutions to our customers”. 
For more information on Atomfab please visit Plasma.oxinst.com/Atomfab

Additional Information:

Whitepaper: "Atomic Layer Deposition and Atomic Layer Etching for GaN Power Electronics"(LINK)

Blog: "5 Ways ALD Can Benefit GaN Devices" (LINK).

Monday, June 24, 2019

RASIRC Peroxidizer – the preferred way for stable on demand delivery of highly reactive H2O2-ALD precursor

Thin film titanium dioxide (TiO2) is one of the necessary materials with numerous uses in the electronics, semiconductor, and display industry. TiO2 is a high-k material (k>90) and can, therefore, be used stand-alone or laminated with other high-k metal oxides (e.g., Al2O3, HfO2, ZrO2, SrO) for capacitor application in DRAM or embedded decoupling capacitors or energy storage. Due to excellent water vapor penetration properties, TiO2 is often employed as a barrier layer together with Al2O3 for very thin barrier systems on flexible electronics and display technologies. Besides these applications, there is a growing interest also in battery applications and coating medical implants and electronic devices with ALD TiO2 – even encapsulation of medicament particulates (ref. Nanexa AB, LINK).

One of the critical TiO2 thermal ALD processes is employing titanium isopropoxide (TTIP) and water as precursors, allowing deposition of high-quality barrier well below 200 °C. However, the TTIP low-temperature ALD processes suffer from very low GPS (<0.5 Å), which is terrible for throughput.


The ALD process window showing GPC (left) and refractive index (right) as a function of process temperature for H2O, O3 and H2O2 TDMAT based ALD of TiO2.

Another commonly used titanium precursor is TDMAT with H2O or O3 as co-reactants resulting in relatively higher GPC in the temperature range 100 to 200 °C, commonly used for flexible devices.

Ozone is typically losing its oxidation power at the lowest temperature range, at 100 °C and below which is needed for processing on e.g., OLED display devices. Therefore most ALD processes for metal oxides use H2O as co-reactant for low temperature.

Hydrogen peroxide (H2O2), which has a higher oxidation potential than H2O has shown many promising results in research and previous studies by RASIRC (Reference "Cheating Raoult’s Law"). In a most recent study by RASIRC and TNSC, results showcase clearly that the TDMAT ALD-TiO2 process is improving compared to H2O and O3 based processes:
  • Higher GPC 
  • Denser layers as indicated by the higher index of refraction, especially at low temperature (100 °C) Much lower wet etch rate (WER) 
  • Improved purity – less nitrogen and carbon incorporation, which is the driver for low WER.


RASIRC, has a mature delivery method for concentrated H2O2 – The Peroxidizer, which is an integrated source and delivery unit that can be facilitated as a stand-alone H2O2 delivery unit or integrated into the ALD tool gas and precursor delivery system. 

The Peroxidizer delivers hydrogen peroxide gas concentrations from 12,500 to more than 50,000 ppm depending on flow rate. Carrier gas flow rates can range from 5 to 20slm supplying into both vacuum and atmospheric pressures. The Peroxidizer adjusts the temperature to achieve the desired output.

The RASIRC Peroxidizer provides a safe, reliable way to deliver high-concentration H2O2 gas into ALD, annealing, dry surface preparation and cleaning processes.

The three key advantages of the Peroxidizer delivery technology are:

Higher concentration - The Peroxidizer delivers 10x concentration of hydrogen peroxide gas at a given temperature and delivers droplet-free gas at temperatures as low as 80 °C. The Peroxidizer delivers up to 5% hydrogen peroxide gas by volume from 30% hydrogen peroxide liquid solution.

Stronger Oxidant - Hydrogen peroxide gas readily converts to highly reactive OH radicals, creating a high-density ALD nucleation and faster reactions with precursors. Oxygen plasma can penetrate below the interface layer, damaging the bottom electrode and surface structures. Plasma cannot reach the bottom of deep structures as it requires line-of-sight, so coatings may be non-uniform, favoring the top of the structure.

Lower Temperature - High concentration hydrogen peroxide gas delivered by the Peroxidizer creates a dense hydroxylated layer at a lower operating temperature than other oxidants. To achieve the same level of reactivity, water requires higher process temperatures that are not compatible with new materials.

Finally, The Peroxider always beat the Bubbler and the Vaporizer because it enables on-demand stable flow control and no particle generation due to liquid micro-droplet formation. RASIRC will be presenting results about the Peroxidizer at the upcoming EuroCVD22/Baltic ALD 16 conference in Luxemburg (LINK).

More information

info@rasirc.com
0858.259.122
7815 Silverton Avenue
San Diego, CA 92126
USA
 

About RASIRC

RASIRC products generate and deliver gas to fabrication processes. RASIRC technology delivers water vapor, hydrogen peroxide and hydrazine gas in controlled, repeatable concentrations.





Friday, June 21, 2019

Aixtron partners in UltimateGaN project to make power semiconductors available for broad applications at competitive cost

[Semicondutor Today] Deposition equipment maker Aixtron SE of Herzogenrath, near Aachen, Germany says that it is a partner in the European research project UltimateGaN (research for GaN technologies, devices and applications to address the challenges of the futureGaN roadmap). In addition to Aixtron, 25 other companies and institutions from nine countries have come together to research the next generation of energy-saving chips based on gallium nitride (GaN) over the next three years. The aim is to make these power semiconductors available for a wide range of applications at globally competitive costs.


The UltimateGaN consortium consists of 26 well-established participants originating from 9 European member states and associated countries constituting a balanced mix of industry and research with complementary skills and expertise. The multidisciplinary partners cover the entire value chain technology – packaging – reliability – application.

UltimateGaN is one of the largest existing European research projects in semiconductor development. The €48m in funding consists of investment by industry, subsidies from the individual participating countries and the Electronic Components and Systems for European Leadership (ECSEL) Joint Undertaking (JU).

Efficient use of energy for climate protection


“By developing intelligent technologies, we are making a key contribution to the global challenge of climate change,” says Aixtron president Dr Felix Grawert. “New materials and efficient chip solutions play a key role here. With this research project, we are creating the conditions for making innovative energy-saving chips available for many future-oriented everyday applications,” he adds.

“Gallium nitride semiconductor devices are revolutionizing energy use on many levels,” says professor Michael Heuken, Aixtron’s VP Research & Development. “The research project opens up an enormous global market potential,” he adds. “It enables better performance and efficiency in a wide range of applications and significantly improves user comfort. Efficient operation of servers and data centers, fast and wireless charging of smartphones, data exchange between machines in real time, or lightning-fast video streaming become reality.”
Source: Semiconductor Today LINK

Thursday, June 20, 2019

RASIRC Demonstrates Superior Titanium Dioxide Films by Use of Hydrogen Peroxide Gas

Company compares H2O2, H2O and O3 for dielectric ALD

San Diego, Calif – June 20, 2019 – RASIRC will discuss test results that compare hydrogen peroxide gas, ozone, and water mixtures for TiO2 ALD film growth during EuroCVD 22 Baltic ALD 16 conference in Luxembourg on June 24-28. The company is an exhibitor at the highly esteemed conference. RASIRC President and Founder Jeffrey Spiegelman will present a poster entitled, “Comparison of Titanium Dioxide ALD Films Grown with Hydrogen Peroxide, Ozone, or Water” during poster session 2 on the evening of June 27th. The poster will address the benefits of H2O2 for TiO2 film and reveal best process conditions for TiO2 ALD growth.

“Our research shows that H2O2 outperforms all other oxidants when growing low temperature TiO2 by ALD,” says Spiegelman. “This breakthrough provides a key solution for process engineers faced with the challenge of a low thermal budget.”

Professor Jiyoung Kim of University of Texas Dallas will also present a talk entitled, “Low temperature ALD of Silicon Nitride in Trench Structure: Comparing Hollow Cathode Plasma-Enhanced CVD and Thermal ALD with Hydrazine.” This work describes key advances for low temperature silicon nitride deposition utilizing RASIRC BRUTE® Hydrazine product.

EuroCVD 22-Baltic ALD 16 is part of the biennial series of European CVD conferences which started in Paris in 1977. It is one of the leading meetings for process and materials development in the world. The conference showcases forefront research addressing up-to-date challenges and state-of-the-art chemical processing from the gas phase (CVD, ALD, Energy-assisted CVD/ALD, MOVPE, RIE, ALE).

Spiegelman and additional RASIRC staff will be available throughout the event to discuss the RASIRC precursor chemistry product line-up, which includes the Peroxidizer®, BRUTE® Peroxide and more. Information about RASIRC products and company representatives will be available at the RASIRC exhibit located in Booth 6.

About RASIRC Products


RASIRC Peroxidizer provides high volumes of reactive H2O2/H2O mixtures for high throughput ALD. This reactive gas generator is ideal for roll-to-roll ALD coatings that require high speed deposition at reduced temperatures.

BRUTE Peroxide is a novel oxidant that improves nucleation density at film interfaces when compared to other oxidants. Surface functionalization is denser and initiation is faster using anhydrous hydrogen peroxide gas compared with alternatives. This enables better selectivity and less damage to metal surfaces in ASD processes.

BRUTE Hydrazine enables uniform nitride deposition for silicon and early transition metals at low temperature. BRUTE Hydrazine may also be used as an atomic hydrogen source, where metals such as Ru, Cu, and Co may be cleaned and reduced. Hydrazine gas is generated in situ and is virtually water free. Brute Hydrazine has been formulated for a relatively high flash point for safer handling.

Additional RASIRC products include the RainMaker® Humidification System (RHS) and the Hydrogen Peroxide Steamer (HPS). The RHS generates water vapor for oxidation applications and the HPS provides surface cleaning, preconditioning, gap fill curing, and residual carbon removal.

About RASIRC

RASIRC specializes in products that generate and deliver gas to fabrication processes. Each unit is a dynamic gas plant in a box — converting common liquid chemistries into safer and reliable gas flow for most processes. RASIRC technology delivers water vapor, hydrogen peroxide gas and hydrazine gas in controlled, repeatable concentrations. RASIRC gas delivery systems, humidifiers, and closed loop humidification systems are critical for many applications in semiconductor, photovoltaic, pharmaceutical, medical, biological, fuel cell, and power industries. Call 858-259-1220, email info@rasirc.com or visit http://www.rasirc.com.

#####

Contacts:

RASIRC
Jeffrey Spiegelman
Phone: 858-259-1220
E-mail: jeff@rasirc.com
 

Technical program for AVS ALD2019 and ALE2019 in Bellevue, Washington USA


Technical Program



Key Deadlines:
Hotel Reservation Deadline: June 27, 2019
JVST Special Issue Deadline: November 1, 2019

Hotel Deadline is June 27



The AVS 19th International Conference on Atomic Layer Deposition (ALD 2019) featuring the 6th International Atomic Layer Etching Workshop (ALE 2019) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and now topics related to atomic layer etching. The conference will take place Sunday, July 21-Wednesday, July 24, 2019, at the Hyatt Regency Bellevue in Bellevue, Washington (East Seattle). The meeting will be preceded (Sunday, July 21) by one day of tutorials and a welcome reception. Sessions will take place (Monday-Wednesday, July 22-24) along with an industry tradeshow. All presentations will be audio-recorded and provided to attendees following the conference (posters will be included as PDFs). Anticipated attendance is 800+.


Download the ALD-ALE Mobile App
Logging into the app allows you to access messaging, enable the synchronization of notes, favorites, and scheduled items between devices and the online scheduler. Click Here for Download Details 



Plenary Speaker
·    Jeff Elam (Argonne National Lab, USA)
·    Eric Joseph (IBM, USA)

ALD Invited Speakers
·    Silvia Armini (IMEC, Belgium)
·    Ageeth Bol (Eindhoven Univ. of Technology, Netherlands)
·    Jolien Dendooven (Ghent Univ., Belgium)
·    Eric Dickey (Lotus Applied Technology, USA)
·    John Ekerdt (Univ.of Texas, Austin, USA)
·    Fabio Grillo (ETH Zurich, Switzerland)
·    Hyeongtag Jeon (Hanyang Univ., South Korea)
·    Jessica Kachian (Intel, USA)
·    Rajesh Krishnamurthy (TechInsights/Chipworks, Canada)
·    Alex Martinson (Argonne National Lab, USA)
·    Niloy Mukherjee (Eugenus, Inc., USA)
·    Jin-Seong Park (Hanyang Univ., South Korea)
·    Henrik Pedersen (Linkoping Univ., Sweden)
·    Madhukar Rao (Versum Materials, USA)
·    Dina Triyoso (Tokyo Electron, USA)
·    Ginger Wheeler (U.S. Naval Research Lab, USA)
ALE Invited Speakers
·    Tomoko Ito (Osaka Univ., Japan)
·    Sabbir A. Khan (Niels Bohr Institute, Univ. of Copenhagen, Denmark)
·    Nobuyuki Kuboi (Sony Semiconductor Solutions Corp., Japan)
·    Xu Li (Univ. of Glasgow, UK)
·    Alfredo Mameli (TNO-Holst Centre, The Netherlands)
·    Angelique Raley (TEL Technology Center, America, USA)
·    Kazunori Shinoda (Hitachi Ltd, Japan)
·    Samantha Tan (Lam Research, USA)

Tutorial Speakers
·    Area-selective ALD for Semiconductor Manufacturing, Stacey Bent (Stanford Univ., USA)
·    ALD for Battery Applications, Andy Sun (Western Univ., Canada)
·    ALD for Catalysis, Rong Chen (Huazhong Univ. of Science and Technology, China)
·    ALD for Photovoltaics, Bart Macco (Eindhoven Univ. of Technology, Netherlands)
·    Plasma Based ALE, Thorsten Lill, (Lam Research, USA)
·    Thermal Based ALE, Steve George (Univ. of Colorado at Boulder, USA)


ALD Program Chairs

Program Chair:
Sumit Agarwal
(Colorado School of Mines, USA)

Program Co-Chair:
Dennis Hausmann
(Lam Research, USA)
ALE Program Chairs

Program Chair:
Craig Huffman
(Micron Technology, USA)

Program Co-Chair:
Gottlieb Oehrlein
(University of Maryland, USA)