Wednesday, November 30, 2016

The BALD Engineering ALD News Blog is growing in Asia

I am glad to see that the BALD Engineering ALD News Blog is growing in Asia. This is first month when Japan, South Korea, China and Taiwan were all on the Top 10.




Tuesday, November 29, 2016

4th Int. Atomic Layer Etching Workshop ALE2017 in Denver USA July 15-17

Here is a message and update from Steve George and Keren Kanarik Co-Chairs of ALE2017:

ALE2017 will be held in conjunction with the 17th International Conference on Atomic Layer Deposition in Denver, Colorado. ALE2017 is scheduled on July 15-17, 2017. Preliminary details are posted at: https://aldconference.avs.org/.





ALE2017 will embrace many topics including:

  • Plasma and/or energy-enhanced ALE
  • Gas-phase and/or thermal ALE
  • Solution-based including wet ALE
  • Selective ALE
  • ALE hardware, diagnostics, & instrumentation
  • Modeling of ALE
  • Atomic layer cleaning (ALC)
  • Integration of ALD + ALE
  • Applications for ALE
Confirmed invited speakers for ALE2017 that will cover many of the above topics:
  • Rick Gottscho (Lam Research)
  • Mark Kushner (Univ. Michigan)
  • Masanobu Honda (Tokyo Electron)
  • Nathan Marchack (IBM)
  • Jesus Del Alamo (MIT)
  • Jane Chang (UCLA)
  • Stacey Bent (Stanford Univ.)
  • Chuck Winter (Wayne State Univ.)
  • Younghee Lee (Univ. Colorado)
ALE2017 will begin with a poster session in the evening on July 15. The plenary talks for both ALD2017 and ALE2017 are on the morning of July 16. Rick Gottscho, Executive Vice President of Global Products from Lam Research, will be presenting the ALE Plenary talk. The invited and contributed talks for ALE2017 will continue on July 16-17. In addition, there will be a networking area devoted to ALE next to the ALE posters

The abstract submission deadline for ALE2017 is February 17, 2017.



Welcome to the new web page of ALD Lab Saxony



ALD Lab Saxony is a division of Cool Silicon and combines several universities and resarch institutes to the largest German ALD cluster. Please explore our website to discover the world of Atomic Layer Deposition and to receive informations, news and announcements from the ALD community.

Please have a look on our news blog and our events section for latest information on recent activities and upcoming events.


Picosun wants you to join the ALD Powerhouse!

Picosun is the leading supplier of high quality Atomic Layer Deposition (ALD) thin film coating solutions for industrial production. Due to the growing interest towards ALD and co-operation with Picosun throughout the global industrial scene, we are constantly seeking new persons to complete our highly motivated and skillful organization. We offer you a challenging and versatile job in a dynamic, fast developing high-tech company with a supportive team and active, positive spirit.


Come to work in Picosun’s global family! We are happy to receive applications any time. Please send your open application and CV to info@picosun.com.

At the moment we are hiring for the following positions (click the titles to read more):

PICOSUN EUROPE (GERMANY)

General manager
Regional service manager

PICOSUN CHINA

General manager

PICOSUN USA

Sales manager
Regional service manager

PICOSUN FINLAND (HQ) – KIRKKONUMMI, MASALA

Process engineers
Field service engineers
Production workers
Project manager
Product manager
Mechanical designers
Purchasing manager
Production manager

 Photo, Björn Engström, Oravais, Ostrobothnia, Finland.

Friday, November 25, 2016

The ALD Passivation Market for Solar Cells is Evolving


Levitech Reports: Almere, the Netherlands – November 23, 2016 – The ongoing evolution from Al BSF cells to new PERC and n-type cell concepts will continue in the coming years. This production shift is made possible by the development of high volume capable Al2O3 deposition systems. The intrinsic properties of ALD are a perfect match with the requirements from cell design and technological point of view, both for PERC as well as n-type cells. Additionally, ALD systems result in a proven CoO benefit as compared to PECVD due to the increased maintenance interval and its beneficial effect on cell efficiencies.


从 Al BSF 电池到新型 PERC 和 n 型电池概念的持续演进将在未来的几年 中继续保 持下去。高產量 Al2O3 沉积系统 的发展推动了这一生产转变。从电池的设计 和 技 术 的 角 度 来 讲 ,无 论 是 PERC 还是 n 型电池,ALD 的固有属性可谓正应所需。除 此 之外,鉴于 ALD 系统可以增加维修间隔 并改善电池效率,它还能够带来 PECVD 不 具备的实际 CoO 益处。
 

Novel high-speed ALD outperforms current techniques for making displays

The Holst Centre in the Netherlands reports: Fast and industry-compatible, spatial atomic layer deposition (sALD) promises to revolutionize production of thin-film displays. Now researchers at Holst Centre have shown that sALD can deliver semiconductor layers with better performance than physical vapor deposition (PVD) at the same – and potentially even higher – throughputs. An easily scalable, atmospheric-pressure process, sALD could soon become the preferred method for creating large-area thin-film and flexible devices. 

 
A key step in producing next-generation ultra-high definition) displays is the creation of a highly uniform layer of an amorphous oxide semiconductor such as indium-gallium zinc oxide (IGZO). Today, this is typically done using a PVD technique known as sputter deposition. Sputtering requires expensive vacuum equipment and can also prove difficult to correctly control material composition and thickness over large areas. This results in variable transistor performance, particularly in thin film applications such as displays.

Now Holst Centre has shown that sALD offers an industry-compatible alternative which improves display performance and at the same time could cut production costs. The team has used the technique to create semiconductor layers with charge carrier mobilities (a key measure of semiconductor performance) of 30 to 45 cm2/Vs. This compares to typical mobilities around 10 cm2/Vs for sputtering. The sALD layers also exhibited low off current, switch-on voltages around 0 V and excellent bias stress stability.

"Spatial ALD offers all the performance advantages of traditional ALD – superior control of layer thickness and composition, large-scale uniformity and unparalleled conformability – but at 10-100 times the speed. So a typical 50-nm thick layer can be produced within the standard 1 minute window demanded by today's industrial processes," said Paul Poodt, Program Manager sALD at Holst Centre.

"The performance of sALD means semiconductor layers could become much thinner, enabling even higher throughputs and lower material consumption," added Gerwin Gelinck, Program Director Flexible and Large Area Transistor Electronics at Holst Centre. "In fact, its performance characteristics are preserved even when scaling down the semiconductor thickness to less than 5 nm. This can lead to novel semiconductor structures, such as super-lattices, with even higher electron mobilities."

The Holst Centre team and partners are now taking steps towards the upscaling and commercialization of these sALD processes and related equipment.

Thursday, November 24, 2016

ALD For Industry kicking of the new year in Dresden

We are very happy for the interest from the ALD Equipment Suppliers and ALD Supplier Ecosystem to attend, present, exhibit and sponsor "ALD For Industry" kicking of the new ALD year 2017 in Dresden Germany 17-18th of January. 

Herzlichen Dank Ihnen allen !!!

Exhibition & Sponsoring



Link to ALD fro Industry Program HERE

#ALD4Industry (follow in Twitter)

In an European context ALD was invented independently twice in Europe (Russia & Finland) and since the last 15 years Germany has grown to become one of the strongest European markets for ALD in R&D, chemicals, equipment and end users. Here, Dresden and Saxony is a unique ALD hotspot due to a strong semiconductor and equipment industry. 
As you can see we have managed to put together a fantastic program with representatives from the European ALD Equipment Industry : Picosun (FIN), Beneq (FIN), Sentech (GER), FHR Anlagenbau (GER), Encapsulix (FRA), ASM International (NL) and special guests from the USA  - Ultratech CNT!
In addition, representatives from the chemical industry (Air Liquide), customers (Robert Bosch) and research institutions from Germany (Fraunhofer) and Finland (Aalto University and VTT Finland) will make invited and contributing talks. Topics will cover industrialization of ALD beyond the semiconductor industry:
▪ MEMS & Sensors ▪ Display ▪ Lightning ▪ Barriers ▪ Photovoltaics

Please visit EFDS for all information for this event and how to register HERE!


Monday, November 21, 2016

Sub 7nm Metrology is tough

Why the semiconductor industry needs breakthroughs, and why it’s getting tougher to provide them.

Saturday, November 19, 2016

Why is EUV so difficult and why should we ALD people care about that

Inspired by a recent article by Mark LaPedus, bad weather over Germany and coming back alive after a flue I had to return to my favorite ALD topic.  As I remember it EUV was scheduled for insertion at 32 nm and has been pushed node by node ever since then. 10 years ago when I worked at the DRAM company Qimonda (RIP) I was on a small double patterning team and at that time I got my first insights into this exciting topic and I have followed it ever since. I would say that for an ALD process engineer the HKMG stack became boring once it came into production at 45 nm. 32/28 nm let´s tune the HIG source 2 degrees Zzzzzzz. Just make up you´re mind - should we go first or last? As we care.... joking aside the three big ones, Applied Materials, Lam Research and Tokyo Electron, all failed taking control of the ALD HKMG business and this was rightfully so conquered by ASMI with a good help from Finnish and Korean ALD Technology at its finest (Microchemistry and Genitech). With respect to EUV and ALD Enabled Pattering PEALD has become a key factor and may explain partially why ASM has been successful also in that field.

Ever since ALD became part of the patterning/litho community it just feels like we are taken much more serious than when we were stuck mainly playing around with funky materials from the periodic table. In short, multiple patterning and EUV is just so much cooler! 

The situation now is that Samsung may start using EUV at 7 nm and the rest may wait until 5 nm. At least that is the current situation that I have from the last month of online media reports. That Samsung may be an early adopter for EUV maybe explained by that they have to also realize DRAM scaling sub 20 nm (more details in the article linked below).

In one sense skipping EUV has been a fantastic driver for double patterning and followed by quadruple patterning technologies realized by advanced etch processes and ALD liners. The single- and multiwafer ALD & PEALD equipment market and silicon precursor revenue volume has benefited enormously from this.

Self-aligned contact and via patterning is an established method for patterning multiple contacts or vias from a single lithographic feature. It makes use of the intersection of an enlarged feature resist mask and underlying trenches which are surrounded by a pre-patterned hardmask layer. This technique is used in DRAM cells and has been extended to patterning of active areas (see "Crossed self-aligned patterning"). It is also used for advanced logic to avoid multiple exposures of pitch-splitting contacts and vias [Wikipedia]
However, one thing that is difficult to realize with multiple patterning technology is hole patterns (described in the figure above) and here I don´t think about the regular den matrix used for e.g. DRAM cell arrays but the rather randomized pattern used for contact holes and BEOL vias. So even though you´re ALD biased at some point in time it could slow down scaling for interconnects and then that would also impact the ALD business.

So that is why it should be of interest for any ALD guy to closely follow and understand the EUV situation. Please find here some insights by Mark LaPedus at Semiconductor Engineering on what the EUV problem is all about and in great technical detail as well.

One of the most complex technologies ever developed is getting closer to rollout. Here’s why it took so long, and why it still isn’t a sure thing. November 17th, 2016 - By: Mark LaPedus, Semiconductor Engineering.

Also I can highly recommend the Wikipedia page on Multiple patterning which receives regular updates. 

To inspire you even more just take time to read this excellent review by W.M.M. Kessels et al on ALD enabled pattering: "The use of atomic layer deposition in advanced nanopatterning", Nanoscale, 2014,6, 10941-10960, DOI: 10.1039/C4NR01954G. There is definitely more to come and just maybe those holes can be made by ALD as well.


Tuning mechanical properties of ATO nanolaminates by ALD

ALD nanolaminates were first introduced in high volume manufacturing in Finland for TFEL-displays. Today even a see through version display is produced by Beneq (Lumineq). Nanolaminates have also found applications in optics by combining materials with a high difference in refractive index to tune optical properties. Also any ALD manufactured devices in the semiconductor industry can be regarded as an ALD nanolaminates like for instance the MIM stack in the DRAM capacitor cell for 90 nm and below or the HKMG stack used for transistors starting at 45 nm.

Since then, ALD nanolaminate barriers have also become technologically very important for highly flexible OLED (light-emitting diode) displays expected to go in production during 2017 for e.g. smart phone displays. Rumors in the industry say that both Samsung Electronics, LG Display and Chinese manufacturers are adopting ALD barrier production technology and that possible one of the next Iphones will have ALD barrier technology.

One of the mostly reported ALD barrier stacks consists of a repetition of a Al2O3/TiO2 bi-layer stack so called ATO nanolaminates or multilayers. These are employed to encapsulate the OLED from gas and water penetration otherwise killing the device. The key is to reduce the thickness drastically as compared to PVD or CVD barriers and thereby gaining flexibility while keeping the barrier properties for gas and water diffusion and therefore most importantly the barriers must also be pinhole free. Any pinhole will kill the diode and lead to a pixel failure. I´ve been told that a typical acceptance level for pixel failure for tablet sized displays is three (3!).


(Color online) Residual stress of the ATO nanolaminate as a function of the (a) ALD temperature, and (b) bilayer thickness. The error bars present the maximum measurement uncertainty as explained in Sec. II. © 2016 American Vacuum Society. Reproduced from J. Vac. Sci. Technol. A 35, 01B105 (2017); http://dx.doi.org/10.1116/1.4966198, with the permission of AIP Publishing and corresponding Author Oili M. E. Ylivaara
 
Until today there are not too many published reports available on residual stress, adhesion and mechanical properties in ATO nanolaminates published. Please find below the link to the Open Source publication from researchers at VTT, University of Jyväskylä and Aalto University in Finland, systematically investigating those properties as dependence from bi-layer thickness and ALD process temperature as shown above and much more. Even though the focus in this study is not on barrier properties I think there is a lot to learn here for the OLED community, especially since you operate under relatively low process temperature conditions (<100C) you must have a lot of residual stress in those flexible barriers.

Besides this report, you can also find an earlier very nice poster from Riikka Puurunen here that focuses on tuning the electrical properties of ATO nanolaminates. All this work were performed in a Picosun SUNALE(TM) R-150 reactor.

Aluminum oxide/titanium dioxide nanolaminates grown by atomic layer deposition: Growth and mechanical properties

Oili M. E. Ylivaara, Lauri Kilpi, Xuwen Liu, Sakari Sintonen, Saima Ali, Mikko Laitinen, Jaakko Julin, Eero Haimi, Timo Sajavaara, Harri Lipsanen, Simo-Pekka Hannula, Helena Ronkainen and Riikka L. Puurunen
 
J. Vac. Sci. Technol. A 35, 01B105 (2017); http://dx.doi.org/10.1116/1.4966198

Thursday, November 17, 2016

Program Released - ALD for Industry, EFDS Workshop 17-18 January 2017, Dresden, Germany

Last week we released the program for the tutorials for the  ALD for Industry, EFDS Workshop 17-18 January 2017, Dresden, Germany. Now we are very proud to release the full program for the Workshop the following day!

Link to ALD fro Industry Program HERE

#ALD4Industry (follow in Twitter)

In an European context ALD was invented independently twice in Europe (Russia & Finland) and since the last 15 years Germany has grown to become one of the strongest European markets for ALD in R&D, chemicals, equipment and end users. Here, Dresden and Saxony is a unique ALD hotspot due to a strong semiconductor and equipment industry. 

As you can see we have managed to put together a fantastic program with representatives from the European ALD Equipment Industry : Picosun (FIN), Beneq (FIN), Sentech (GER), FHR Anlagenbau (GER), Encapsulix (FRA), ASM International (NL) and special guests from the USA  - Ultratech CNT!

In addition, representatives from the chemical industry (Air Liquide), customers (Robert Bosch) and research institutions from Germany (Fraunhofer) and Finland (Aalto University and VTT Finland) will make invited and contributing talks. Topics will cover industrialization of ALD beyond the semiconductor industry:

▪ MEMS & Sensors ▪ Display ▪ Lightning ▪ Barriers ▪ Photovoltaics

Please visit EFDS for all information for this event and how to register HERE!

Workshop - Wednesday, January 18, 2017

09:00 Welcome to ALD for Industry
Dr. Sven Richter, Dr. Jonas Sundqvist, Dr. Christoph Hossbach EFDS & ALD Lab Saxony

09:20 ALD/MLD of flexible inoganic-organic hybrid thin films towards future energy harvesting and storage technologies
Prof. Maarit Karppinen; Department of Chemistry, School of Chemical Technology, Aalto University, Finland

10:00 ALD technology for the continuation of Moore’s law
Dr. Harald Profijt; Corporate R&D, ASM International, Netherlands

10:30 ALD for Life Science Applications
Dr. Ganesh Sundaram; Ultratech, Cambridge Nanotech, USA

10:50 Coffee Break

11:20 Solid precursors for ALD: challenges and opportunities
Dr. Nicolas Blasco; Air Liquide, France

11:40 ALD for optics
Dr. Adriana Szeghalmi; Friedrich-Schiller-Universität Jena, Institute of Applied Physics, Germany

12:00 Opportunities, challenges and solutions for ALD thin-film encapsulation in flexible electronics applications
Dr. Mikko Söderlund; Beneq Oy., Finland

12:20 Lunch Break

13:20 Industrial deployment of nano-engineered ultrabarriers for encapsulation of organic electronics
Dr. Jacques Kools; Encapsulix S.A., France

13:40 ALD applications in MEMS manufacturing
Dr. Florian Schön; Robert Bosch GmbH, Germany

14:00 ALD and 3D coatings
Dr. Tero Pilvi; Picosun Oy., Finland

14:20 Thin films in 3D structures: metrology with microscopic lateral high-aspect-ratio structures
Mikko Utriainen; VTT Technical Research Centre of Finland Ltd., Finland

14:40 Coffee Break

15:00 Where no man has gone before - unique equipment enables unique research
Dr. Hannes Klumbies; FHR Anlagenbau, Germany

15:20 ALD systems for no damage, high uniformity and stability of multilayer deposition
Dr. Hassan Gargouri; SENTECH Instruments GmbH, Germany

15:40 ALD process optimization by equipment simulation
Dr. Jörg Schuster; Fraunhofer ENAS, Germany

16:00 Closing remarks and discussion
Dr. Sven Richter, Dr. Jonas Sundqvist, Dr. Christoph Hossbach
EFDS & ALD Lab Saxony

16:20 End of Workshop Day
 

Wednesday, November 16, 2016

Oxford Instruments Plasma Technology free webinars

Oxford Instruments Plasma Technology has a new media centre that has just gone live, allowing you unlimited access to their on-demand webinars, videos and more!

The two most recent webinars:

Growth and Characterisation of 2D Materials Beyond Graphene
Dr Ravi Sundaram, Oxford Instruments & Dr Tim Batten, Renishaw

SiC Via Etching for RF Devices
Oxford Instruments Plasma Technology 

Lam Research Tech brief on Atomic Layer Etching - ALE


Lam Research has just published a great Tech Brief covering Atomic Layer Etching on their Blog (Tech Brief: All About ALE ). Thanks Terry Francis for sharing this one!

The article covers the following:
  • Plasma Etch Basics
  • Plasma Etch Challenges
  • Atomic Layer Etching
  • Benefits of ALE
  • Growing Need for ALE

References






Tuesday, November 15, 2016

Special Issue: Atomic Layer Deposition for Energy and Environmental Applications

Here is a Special issue in Advanced Materials Interfaces: Atomic Layer Deposition for Energy and Environmental Applications LINK. The issue is guest edited by Neil P. Dasgupta, Liang Li, and Xueliang Sun.

The ALD Energy and Environment special issue has 11 invited research articles and 5 review articles  from leading ALD experts. The focus is on the following applications:
  • photo-voltaics
  • batteries
  • supercapacitors
  • photoelectrochemical cells
  • transparent electrodes
  • sensors
  • environmental barrier layers. 
The editors argue that ALD for Energy, judging by the number of publications the last 15 years (Web of Science database) is one of the faster growing application fields. Since we have a christian holiday tomorrow in Saxony I had some time to make a plot based on Google Scholar, which includes also patents. Yes you can see exactly the same growth trend. So folks ALD and Energy is coming and that is why you should check it out below (Embedded Twitter link to the journal).

Google Scholar year by year for "atomic layer deposition" AND energy. Obviously energy can also be used for binding energy etc. but I think the message is clear.

Monday, November 14, 2016

Tutorial on Fast Spatial Atmospheric ALD by David Muñoz-Rojas from LMGP-CNRS

We are happy that Dr. David Muñoz-Rojas from LMGP-CNRS, Grenoble will come to Dresden in January 17th 2017 to give a Tutorial at ALD for Industry on Spatial ALD "Speeding up the unique assets of ALD". Until then please enjoy this recent presentation available on Youtube - pretty amazing stuff!


Dr. David Muñoz-Rojas - Laboratoire des Matériaux et du Génie Physique (LMGP) Université Grenoble Alpes, Grenoble, France - presents a Waterloo Institute for Nanotechnology (WIN) seminar titled: "Atmospheric Pressure Spatial Atomic Layer Deposition (AP-SALD): a new technique allowing the fast and scalable “printing” of functional oxides" (Embedded Youtube)





Aalto University present light detector with record-high sensitivity that may revolutionize imaging

Aalto University has presented a new light detector with record-high sensitivity that may revolutionize imaging. The research team led by Prof. Hele Savin claim that that the new light detector can capture more than 96 % of the incoming photons from visible, ultraviolet through to infrared wavelengths. The key feature is a nanostructured antireflection without limitation to specific wavelengthe or incident angle.
You probably guessed it by now - yes the new detector uses ALD - an inversion layer deposited by ALD.
"We also addressed electrical losses present in traditional sensors that utilize semiconductor pn-junctions for light collection. Our detector does not need any dopants to collect light - instead we use an inversion layer generated by atomic layer deposited thin film."

Read more at: http://phys.org/news/2016-11-detector-record-high-sensitivity-revolutionize-imaging.html#jCp
"We also addressed electrical losses present in traditional sensors that utilize semiconductor pn-junctions for light collection. Our detector does not need any dopants to collect light - instead we use an inversion layer generated by atomic layer deposited thin film."

Read more at: http://phys.org/news/2016-11-detector-record-high-sensitivity-revolutionize-imaging.html#jCp

Read more in this news release by Aalto University : LINK

The Plasma ALD Guy presented the latest PEALD statistcs at AVS 63 in Nashville

The Mysterious Plasma ALD Guy presented the latest PEALD statistcs at AVS 63 in Nashville:

Saturday, November 12, 2016

Tutorials on Atomic Layer Deposition in Dresden Jan 17, 2017

ALD Lab Saxony, IHM TU Dresden, Fraunhofer IKTS and EFDS invites you to visit Dresden January 17-18 to learn the latest in ALD processing, technology and applications as part of the EFDS ALD for Industry Workshop. After the tutorials there will be an opportunity to visit ALD labs and clean rooms at the Technical University Dresden (IHM and NaMLab) and Fraunhofer Institute for Ceramic Technologies and Systems IKTS.



The 2nd day will be the main workshop day with invited talks from leading researchers and industry experts on the broad range of topics. The workshop will focus on non-Semiconductor industrial applications of today and the emerging markets with an expected industrialization in the next 5 years. Presentations will focus on the latest topics and the state of the art in the field of Atomic Layer Deposition. In parallel there will be an exhibition, giving great opportunities for face to face meetings and to share product information. The workshop will provide an excellent platform for discussions and networking during the program as well as during the social events.

More information will follow!

Tutorial - Tuesday, January 17, 2017

12:30 Introduction and fundamentals of ALD
Prof. Johann W. Bartha; IHM, TU Dresden, Germany

13:00 ALD of metals
Dr. Colin Georgi; Fraunhofer ENAS, Germany

13:30 Overview of ALD equipment and technologies
Dr. Christoph Hossbach; IHM, TU Dresden, Germany

14:00 Coffee Break

14:30 Speeding up the unique assets of ALD
Dr. David Muñoz-Rojas; LMGP-CNRS, Grenoble

15:00 In situ metrology techniques in ALD
Marcel Junige; IHM, TU Dresden, Germany

15:30 ALD applications, equipment and precursor market outlook 2017 to 2020
Dr. Jonas Sundqvist; Fraunhofer IKTS, Germany / Techcet LLC, USA



Welcome to Dresden from ALD Lab Saxony!

Friday, November 11, 2016

Abstract submission is now open for the joint EuroCVD 21 - Baltic ALD 15 meeting in Linkoping, Sweden (June, 2017)

Abstract submission is now open for the joint EuroCVD 21 - Baltic ALD 15 meeting in Linkoping, Sweden (June, 2017):



Abstract Submission

Abstracts will only be accepted though the online abstract submission system, which will be available on November 10, 2016. The deadline for submission is January 10, 2017.

The abstract will consist of two parts: the extended abstract and the summary:

1.The extended abstract can be up to 2 A4 pages, and must follow the extended abstract template available below for download. Please download the template here (Word .docx format). Save the completed extended abstract as a .pdf for submission. Note: only .pdf files will be accepted in the online submission process.

2.The summary will be maximum 1600 character overview of the extended abstract. This should be written in as plain text as possible, with no references or figures. This summary will be pasted into a text submission box during the submission procedure.

Abstract submission closes on January 10, 2017 by midnight GMT. All abstracts should be submitted by this date. Authors will be notified of acceptance of their contributions and the type of presentation (oral or poster) by February 10, 2017.

It is important to note that the authors are solely responsible copy-editing and formatting their abstract documents. The accepted abstracts will be published as received, and any errors in formatting, spelling, grammar, or scientific content will not be corrected. If you have any technical difficulties with the submission process, please contact the organizing committee at cvdald17.abstract@meetagain.se. Other questions about the conference can be directed to henrik.pedersen@liu.se.

The scientific committee will vet the submitted abstracts, and notify the submitting author about the acceptance decision. Accepted abstracts will further be assigned as an oral or poster presentation according to the scientific committee’s decision. The two page abstract will appear in an electronic collection of abstracts distributed to the conference attendees during registration. The 1600-character summary will be published in the conference booklet. Publication of both the extended abstract and summary is contingent on registration of the submitting author.

Presenters will be notified about the scientific committee's decision by email.


New Al2O3/MgO ALD laminates for encapsulation flexible OLEDs

Fleixible OLEDs that we all need for our next generation smartphones, tablets and wearbles are very vulnerable to contamination from the air that surrounds us and especially moisture. China is really taking on OLED high volume production and now researchers from South China University of Technology - SCUT have developed a new material stack for  OLEDs based on a low temperature ALD process that decreases the diffusion of water vapour the OLED device by an order of magnitude.



Anna Demming at Nanotech.Org has interviewed the Lei Ying, an SCUT researcher and he claims that “No one has used MgO for OLED encapsulation before” and accoring to him MgO has a number of advantages: 
  • a low refractive index giving high transmittance
  • wide bandgap
  • high dielectric constant
  • high chemical stability
  • lack of UV irradiation treatment requirements.
  • cheap and commercially available
Accoring to the scientiffic report below the researchers uses an MgO ALD process from Mg(CpMe)2 and H2O at 80 °C since the more commonly used Mg(CpEt)2 is much more expensive than the Mg(CpMe)2 . The ALD depositions were carried out in a BENEQ TFS500 reactor.

BENEQ Thin Film System TFS 500 for ALD research and batch production (beneq.com)


The laminated Al2O3/MgO films improve the reliability of thin film encapsulationand can realize a barrier film with high density, which achieves a superior WVTR as low as 4.6 × 10−6 gm–2/day and passes storability, without any visible black spot growth under testing conditions (temperature = 60 °C, humidity = 100%) for 600 h.
Please read the interview here and study the additional materials including a movie on OLED aging testing.

Realization of Al2O3/MgO laminated structure at low temperature for thin film encapsulation in organic light-emitting diodes[OPEN ACCESS]

Min Li, Miao Xu1, Jianhua Zou, Hong Tao, Lei Wang, Zhongwei Zhou and Junbiao Peng1
Published 9 November 2016 • © 2016 IOP Publishing Ltd
Nanotechnology, Volume 27, Number 49

Abstract
A laminated structure of Al2O3 and MgO deposited by atomic layer deposition (ALD) is used to realize a thin film encapsulation technology in organic light-emitting diodes (OLEDs). This film was targeted to achieve an excellent barrier performance. As the thickness of MgO layer increased from 0 nm to 20 nm, its physical properties transformed from the amorphous state into a crystalline state. The optimized cyclic ratio of ALD Al2O3 and MgO exhibited much lower water vapor transmission rate (WVTR) of 4.6 × 10−6 gm−2/day evaluated by Calcium (Ca) corrosion at 60 °C&100% RH, owing to the formation of a terrific laminated structure. Top-emitting OLEDs encapsulated with laminated Al2O3/MgO show longer operating lifetime under rigorous environmental conditions. These improvements were attributed to the embedded MgO film that served as a modified layer to establish a laminated structure to obstruct gas permeation, as well as a scavenger to absorb water molecules, thus alleviating the hydrolysis of bulk Al2O3 material.

Thursday, November 10, 2016

AVS ALD2017 has come on line with an updated web page!

AVS ALD2017 has come on line with an updated web page and a lot of information! Please go ahead and check it out here.

The AVS 17th International Conference on Atomic Layer Deposition (ALD 2017) featuring the 4th International Atomic Layer Workshop (ALE 2017) will be a three-day meeting dedicated to the science and technology of atomic layer controlled deposition of thin films and now topics related to atomic layer etching. Since 2001, the ALD conference has been held alternately in the United States, Europe and Asia, allowing fruitful exchange of ideas, know-how and practices between scientists. This year, the ALD conference will again incorporate the Atomic Layer Etching 2017 Workshop (ALE 2017), so that attendees can interact freely. The conference will take place Saturday, July 15-Tuesday, July 18, 2017, at the Sheraton Downtown Denver in Denver, Colorado, USA.


Today Riikka Puurunen was announced as Session chair for Social Media, which is a great initiative and the first time for this conference series. The twitter hashtag will be #ALDALE2017 to include the ALE2017 workshop as well.



Forge Nano could save Samsung phones from exploding with ALD coating

As reported by EETimes: LAKE WALES, Fla. — The exploding battery debacle of Samsung's Note 7 got it recalled, replaced, recalled again and now permanently cancelled. Any remaining units in the field are banned by the FAA from airline flights. But it all could have been avoided, according to Forge Nano (Denver, Colo., formerly PneumatiCoat Technologies), if their nano coating had been used. Forge Nano's nano coatings boost the breakdown temperature of flammable electrolyte Li-Ion batteries, putting it way far into the safe zone for nominal environmental usage. The key, according to Forge Nano (Denver) is nano-pattern atomic layer deposition (ALD).

 
"The atomic layer coatings are chemically bonded on the surface of active material particles that make up the Li-Ion battery cathode. It works like a protective coating on an M&M. Independent testing and research has shown that ALD coatings can prevent or reduce the formation of these unwanted chemical species within Li-Ion batteries that can lead exothermic reactions [thermal runaway]," Dr. James Trevey, vice president of engineering told EE Times.

Background on Forge Nano ALD grant:

PCT (Forge Nano) Awarded Department of Energy Phase II SBIR Grant for its High Rate Nanomanufacturing Approach to Low-Cost ALD Enabled Lithium Ion Battery Materials

PneumatiCoat Technologies (Forge Nano) is proud to announce the successful conversion of its DOE Phase II SBIR project for its approach to high-rate nanomanufacturing that will enable the ALD process to be adopted at low cost to the entire value chain. PCT (Forge Nano) will be scaling its high-rate manufacturing process to be capable of producing ALD coated Li-ion battery materials at rates exceeding 100 kg/day. This represents a substantial expansion of the global Particle ALD manufacturing footprint and maintains PCT’s (Forge Nano) position as the market leader bringing this technology first developed in Finland in 1992 to a commercial reality. PCT (Forge Nano) received commitments from its partners to provide PCT (Forge Nano) with over 500 kilograms of pristine cathode materials in support of its efforts. In addition to implementing a lean manufacturing vision for ALD coated materials, PCT’s (Forge Nano) R&D operations will be developing next generation coatings for advanced cathode materials for Li-ion and other types of batteries and capacitor systems. This award represents a win for the entire vehicular battery value chain. A list of awarded projects is available here.

Researchers at Lawrence Berkeley National Laboratory integrate water-splitting catalyst with a solar cell by PEALD

Meanwhile, a team of international researchers at Lawrence Berkeley National Laboratory have been very busy taking a major steps towards artificial photosystems employing PEALD processes performed at the Molecular Foundry at Berkeley Lab.

The CoOx catalyst films were deposited in a Oxford Instruments FlexAL PEALD reactor using CoCp2 (98% Strem Chemicals) and oxygen plasma was the oxidant.

Schematic of the multi-functional water splitting catalyst layer engineered using atomic layer deposition for integration with a high-efficiency silicon cell. (Credit: Ian Sharp/Berkeley Lab)


A multifunctional biphasic water splitting catalyst tailored for integration with high-performance semiconductor photoanodes [OPEN ACCESS]
Jinhui Yang, Jason K. Cooper, Francesca M. Toma,  Karl A. Walczak, Marco Favaro, Jeffrey W. Beeman, Lucas H. Hess,  Cheng Wang, Chenhui Zhu, Sheraz Gul, Junko Yano, Christian Kisielowski, Adam Schwartzberg & Ian D. Sharp
Nature Materials doi:10.1038/nmat4794
 
Artificial photosystems are advanced by the development of conformal catalytic materials that promote desired chemical transformations, while also maintaining stability and minimizing parasitic light absorption for integration on surfaces of semiconductor light absorbers. Here, we demonstrate that multifunctional, nanoscale catalysts that enable high-performance photoelectrochemical energy conversion can be engineered by plasma-enhanced atomic layer deposition. The collective properties of tailored Co3O4/Co(OH)2 thin films simultaneously provide high activity for water splitting, permit efficient interfacial charge transport from semiconductor substrates, and enhance durability of chemically sensitive interfaces. These films comprise compact and continuous nanocrystalline Co3O4 spinel that is impervious to phase transformation and impermeable to ions, thereby providing effective protection of the underlying substrate. Moreover, a secondary phase of structurally disordered and chemically labile Co(OH)2 is introduced to ensure a high concentration of catalytically active sites. Application of this coating to photovoltaic p+n-Si junctions yields best reported performance characteristics for crystalline Si photoanodes.


BENEQ ALD process beats PVD in speed, quality and cost of ownership

Readers of this blog has probably noticed that ALD is continuously taking market shares from PVD and is growing much faster than PVD. ALD is a disruptive technology in many ways especially in semiconductor manufacturing. Now BENEQ Spatial Plasma ALD process also beats PVD in speed, quality and cost of ownership for Anti Reflection coatings!
 
High-performance AR coatings for mass production 08.11.2016 | BENEQ Equipment :  Ever since we earlier this year announced the licensing of rotary spatial ALD technology and launched Beneq R11, our new rotary tool for plasma enhanced spatial ALD, we have received a steady flow of inquiries and questions about where we see the new equipment being the most useful. Plenty of things, we might say, but here is one prime example: fast low temperature optical coatings, such as anti-reflection (AR) coatings on polycarbonate.


The rotary spatial ALD technology and the Beneq R11 equipment solve two major challenges that have until now been associated with the use of ALD in optical coatings in high-volume manufacturing: the deposition rate and the deposition temperature. The new advanced spatial ALD technology has several advantages over traditional PVD coatings commonly used for optical coatings.

Ultra-fast ALD deposition rates with Beneq R11

Atomic layer deposition is well known for great thin film quality, but the low deposition rates of traditional ALD methods have prohibited its use in volume production. With Beneq R11, this is no longer an issue as we can reach deposition rates of over 1µm/h with common optical materials such as SiO2 and TiO2. This takes the technology from lab scale to high volume manufacturing in one giant leap. Some might even call the deposition rates revolutionary.

Please continue here at the Beneq ALD Blog.

Wednesday, November 9, 2016

The speaker videos from ALD2016 Ireland are here!

Conference Programme and speaker videos - The conference programme and speaker videos for the The 16th International Conference on Atomic Layer Deposition can be viewed here.

To search:
  1. Click on programme / title / presenter / topic
  2. Open the abstract
  3. The video link is detailed at the top of the abstract
Please note that videos are only listed for the speakers that have granted permission for publication. 
 
 
Fotografie Katharina Knaut (LINK)

Monday, November 7, 2016

The leading ALD News Blog is from today on operating as a Swedish private limited company, BALD Engineering AB

The leading ALD News Blog is from today on operating as a Swedish private limited company (AB = Aktiebolag)  BALD Engineering AB - Born in Finland, Born to ALD, ALD Invented 1974 in Finland.



Bill Gates grants CU Boulder $1.1 million for next-generation vaccines by ALD

The University of Colorado Boulder has received a $1.1 million grant from the Bill & Melinda Gates Foundation to develop next-generation vaccines that require no refrigeration and defend against infectious diseases with just one shot. 
 
 
The Jennie Smoly Caruthers Biotechnology Building (JSCBB) at CU Boulder. Photo: Patrick Campbell / University of Colorado Boulder

If successful, those advancements could radically transform the difficult task of dispensing life-saving immunizations in developing countries—and improve convenience in every part of the world.

Professor Bob Garcea of the Department of Molecular, Cellular and Developmental Biology and the BioFrontiers Institute has teamed up with Professors Ted Randolph and Al Weimer of the Department of Chemical and Biological Engineering in a unique collaboration that applies a wide range of skillsets and ideas to the pressing challenge of delivering vaccines to patients in developing countries. All three investigators work in the Jennie Smoly Caruthers Biotechnology Building (JSCBB) at CU Boulder, but their research areas have very different emphases.

“It’s really merging three different people with three different sets of expertise into one project,” Garcea said.

In Garcea’s lab, located in the Jean L. and Jack C. Thompson Vaccine Development Laboratory of the JSCBB, investigators work on new vaccines such as those for human papillomavirus, a leading cause of cervical cancer that is particularly devastating to women in developing countries.

One corridor away, Randolph’s team, which focuses on creating stable dosage forms for therapeutic proteins and vaccines, developed a process for making vaccines thermostable, or resistant to damage from heat or cold. In this glassy powder state, the vaccine can be stored at temperatures as high as 120 degrees Fahrenheit for three to four months without losing efficacy, Randolph said.

The two began collaborating about two years ago and even formed a spinoff company, Vitravax Inc., which is seeing successful results in vaccine studies conducted in mice.

The Gates Foundation grant will take these innovations a step further by combining the thermostable vaccine powders with techniques developed in the Weimer lab that allow uniform nanoscopic protective layers of aluminum oxide to be applied to vaccine microparticles. This coating process, called atomic layer deposition, not only provides a nanometer-thick protective barrier for the vaccine particles but also helps trigger the body’s immune response.

The trio are now forming extended release, multilayer microparticulate vaccine dosage forms, composed of an inner core of stabilized vaccine coated with aluminum oxide layers and an outer layer of vaccine, all embedded in a glassy powder. When the formulation is injected, the outer layer provides an initial vaccine dose. Next, the aluminum oxide layer slowly dissolves, eventually releasing the inner core which acts as a second dose of vaccine. Patients receive their second or third “dose” without ever knowing it and without a return trip to the doctor.

Although each step of the process has worked independently, researchers cautioned that moving from small test batches in the lab to manufacturing millions of vaccines for public use is a challenging process that may not succeed quickly—or at all.

“We’ve done many of the individual parts of this project,” Randolph said. “Now we’ve got to put those pieces together and have it work.”

Still, investigators say they are optimistic about the collaboration, which might never have happened if not for their proximity on CU Boulder’s East Campus and the interdisciplinary mission of the BioFrontiers Institute, which seeks to drive innovation by combining researchers from different fields.

“One of the hopes [of the BioFrontiers Institute] is that investigators will, by their proximity, do new and interesting things,” said Garcea, who is a member of the institute. “In a sense, we’ve fulfilled the mission. If the technology works, we’ve really fulfilled the mission.”

Friday, November 4, 2016

ALD NanoSolutions Reports Banner Year as Its ALD Technology Helps Fast-Track Advanced Materials From Concept to Commercialization

BROOMFIELD, Colorado – Nov. 4, 2016 – Today, ALD NanoSolutions (ALD Nano), the pioneer and market leader in Atomic Layer Deposition (ALD) technology on particles, reported a banner year on multiple fronts. The company partners with leading global materials companies to commercialize ALD advanced materials that significantly improve the performance, safety and other characteristics of end products in industries like lighting, batteries, sensors, life sciences and catalysts. 2016 highlights include new patents, deeper customer engagements, expanded manufacturing space, and new reactors to increase production capacity. The momentum illustrates how ALD Nano is harnessing the immense near-term market opportunities for its proprietary ALD technologies outside of ALD’s traditional deployment in the semiconductor industry. 

 
Leading with Differentiated Intellectual Property (IP)
Major 2016 milestones reinforced ALD Nano’s pioneering development and leadership in ALD for control of surface properties at the atomic level for unique functionality of particles and other materials. The company obtained new patents, including some from the University of Colorado Boulder (CU Boulder), its R&D partner since inception. This brings ALD Nano’s total patent holdings to 28 issued and 14 pending. The new IP heightens the market value and cost-effective use of its “Particle ALD” and “Polymer ALD” to create advanced materials. 



An important new patent1 covers an ALD method to deposit inorganic films on organic polymer surfaces. For industries like OLED displays and lithium-ion batteries, the innovation promises breakthrough benefits that could displace other technologies. The Polymer ALD technology could better protect battery electrode separators from overheating and enable next-generation life-science tools, among other applications. 

Another new patent2 is for Particle ALD use with super capacitor electrodes, and an in-license3 from CU Boulder for additional applications of ALD for batteries. Together, they strengthen the company’s position in the energy storage market. A further patent4 covers the use of an ALD method to apply a ceramic coating to implantable medical devices. This expands ALD Nano’s position in the life sciences industry. The company also filed a patent5 internationally for its revolutionary Particle ALD continuous flow reactor system. This allows for large-scale, cost-effective Particle ALD advanced materials production.

Enabling Innovation for Manufacturers of Lithium-Ion Batteries and LED Lighting
A standout 2016 highlight was the first commercial application of Particle ALD for Cathode Active Materials (CAMs) used to produce lithium-ion batteries. The breakthrough was achieved thanks to CU Boulder’s extensive R&D and ALD Nano’s proprietary and robust IP portfolio, coupled with the company’s strategic partnership with a leading battery materials company. Particle ALD is the most effective surface modification method available for CAMs. The ALD-enabled CAMs will dramatically improve performance, extend cycle life and enhance the safety of batteries for use in consumer electronics, electric vehicles and grid storage.

Also in 2016, the company began commercial production of Particle ALD phosphors for a Fortune Global 500 customer, following a multi-year collaboration. The ALD advanced material significantly extends the brightness lifetime for LED lights, while using a fraction of the coating material required for other deposition methods.

Expanding Infrastructure to Address Growing Demand for ALD Solutions
With its accumulating IP, ALD Nano is expanding and deepening engagements with customers. To support the momentum, the company doubled manufacturing space at its headquarters in Colorado, and added new reactors to increase production capacity. Headcount has also grown in the last 12 months.

CEO Mike Masterson called 2016 a transformative year for ALD Nano: “Our growth this year coincides with the consistently superior performance of our ALD technology in many markets. This validates our early vision and is now guiding our execution strategy to create ALD advanced materials in partnership with leading sales channel partners and customers. We’ll enter 2017 firmly positioned with differentiated technology and expertise to help such companies achieve their technology and cost-of-production goals. Our growth is a tribute to the steady efforts of our team, and the extraordinary innovation contributed by each individual.”

New ALD Nano Patents
1 US Patent 9,376,750
2 US Patent 9,406,449
3 US Patent 9,196,901
4 US Patent 9,279,120
5 US Application 62/175,964

About ALD
ALD is the sequential vapor phase material deposition method that forms chemically bonded, high-purity, conformal, ultra-thin films of controlled nanometer thickness. ALD generates less waste than other deposition techniques such as chemical vapor deposition, giving customers a sustainable and cost-of-ownership edge, while helping to reduce overall costs. The atomic level precision of ALD on particles, polymers and other substrates enables new or better applications of materials resulting in ALD advanced material solutions. Devices such as consumer electronics are getting smaller and more complex, requiring novel materials to solve critical issues for marketplace adoption.

About ALD NanoSolutions
ALD NanoSolutions (ALD Nano) is creating cost-effective advanced materials that are transforming industries such as lighting, energy storage, consumer electronics, life sciences, fuel catalysts, water purification, sensors, and more. We’re the leader in Atomic Layer Deposition (ALD) technology on particles, with broad IP covering polymers and MEMS, as well. We partner with world-leading companies that leverage our material designs and reactor systems to innovate products that benefit consumers globally. For more than a decade, we have commercialized innovative ALD technologies developed internally and through research conducted at the University of Colorado Boulder. We’re headquartered in Broomfield, Colorado.

Company Contact: Mike Masterson; mmasterson@aldnanosolutions.com
Media Contact: Jane Evans-Ryan; Genuity PR; jane@genuitypr.com

Wednesday, November 2, 2016

NCD supplied Lucida GS100 ALD to KIER

2016/11/02 : NCD has recently launched and supplied Lucida GS100 ALD, new version of Al2O3-ALD passivation equipment for high efficiency crystalline silicon solar cell, to KOREA INSTITUTE OF ENERGY RESEARCH (KIER).

This batch wafer process equipment has the specification below.

1) Batch capability: > 200 wafers/hour

2) Substrate size: 156 x 156mm2

3) Dimension: Approx. 450W x 617D x 1000H mm

KIER, which is not only the largest but also the most prestigious national institute of Korea about energy, is using Lucida GS 100 to investigate what is the best with dielectrics and passivation layers for crystal silicon solar cell. So we expect that this system will contribute very much to the development of solar energy research. NCD will do all we can, to be the best ALD equipment company with continuous R&D efforts. 
 
Lucida GS100 ALD for Al2O3 passivation of solar cells running at > 200 wafers/hour.