Monday, February 29, 2016

The BALD Engineering blog is growing in Asia

ALD is booming despite a flat year for semiconductor equipment spending because of investments for 10 nm that mean additional layers of ALD space defined multiple patterning compared to 14 nm. The BALD Engineering blog is growing in Asia recently - makes sense that´s where those ALD Fabs are located! Still though the top three is USA, France and Germany.


Also very existing -  I am very proud to welcome NCD an ALD Equipment Manufacturer from South Korea - the first blog sponsor from Asia. More to come on NCD in the next days!



Sunday, February 28, 2016

WoDIM 2016 Abstract Submission, Catania, Italy 27-30 June 2016

WODIM 2016, the 19th Workshop on Dielectrics in Microelectronics, which takes place from 27-30 June 2016 at the Hotel Baia Verde, Aci Castello (Catania), Italy. This event is hosted by the Italian National Research Council (CNR) - Institute for Microelectronics and Microsystems (IMM), and celebrates the 10th anniversary of the last time the workshop was held in Italy. 

Abstract Submission

Please send your 2 page abstract (including figures) preferably by e-mail (MS Word or pdf file) to wodim2016@imm.cnr.it, or e-mail to the Chair.

During submission include with the abstract the author information form.

Please state your preference for oral or poster presentation, as indicated in the author information form.

Submissions will be acknowledged and final notification will be sent in mid April 2016.


Veeco brings low temperature nitride Spatial FAST ALD to semiconductor manufacturing

Some years ago Veeco acquired a company founded by SI Lee, Synos Technology, who shipped a first Prototype System for FAST-ALD™ for OLED encapsulation to Samsung in 2013 (here). Since then Veeco has moved on from OLED taking this technology to the semiconductor wafer based manufacturing. Now Veeco report that they have demonstrated high-quality silicon nitride films at temperatures below 250 degrees using their FAST-ALD technology on customer wafers. They have garnered interest from multiple memory manufacturers and have started expanding their customer engagements for FAST-ALD technology.



“First of all, strong customer pull because they had an unmet need to put down silicon nitride at very low temperatures. And so we've seen strong customer pull from the customers and we've developed the deposition tools for that market and are generating very good film quality, so the things that our customers have told us they can't get with other approaches.” said John Peeler Veeco CEO in a recent Q4 2015 Results - Earnings Call (transcript by Seeking Alpha, see below).
Low temperature silicon nitride ALD is one of the fastest growing layer applications scaling down node by node for both Logic and Memory devices. Low temperature nitride can be employed for multi patterning techniques and growth is due to take over from LPCVD and PECVD as well as for new layer applications. According to John Peeler Veeco is also exploring partnerships with existing front-end semi equipment providers to commercialize this technology.

“We are looking to do it with a partner who is already established in that market. But that's what's caused us to keep investing, and I think you are right, ultimately it is a win-win, because we are either going to drive revenue and profit from a whole new application which I think will be a big benefit to us, or we'll say, we're going to get out of this because we can't make it successful.” Said John Peeler.

“In terms of how our R&D investments are distributed across various product lines, we generally do not provide a granular view on that. However, we are investing in ALD as John talked about and we are quite optimistic about that.” Said Shubham Maheshwari, CFO Veeco.


Brooks Automation CEO Stephen Schwartz see ALD growth for 10 nm

According to a recent Earnings call with Brooks Automation CEO Stephen Schwartz they see growth for 10 nm and ALD. Many ALD OMEs uses Brooks vacuum robotics to cluster their wafer based ALD process chambers, Two such examples are Jusung Engineering and Picosun.

It is also interesting and for sure also fantastic to see how ALD is often reported as its own business segment these days. Here is a good example on how Brooks Automation segments the market to Deposition, Etch and ALD. PVD and CVD is just bunched together into deposition with sol-gel and other - those guys are just not cutting edge anymore.


 
Brooks Automation, Inc.'s (BRKS) CEO Stephen Schwartz on Q1 2016 Results - Earnings Call Transcript (Seeking Alpha, available here) :

“We forecast growth in March driven by the same products and design wins that propelled us in 2015. Processes like Deposition, Etch and ALD which utilize our vacuum automation technology have exploded due to the numerous three dimensional device structures that have taken over leading-edge memory and logic designs and we are in the center of this space.”

ASM International's CEO Chuck Del Prado on 2015 ALD results

ASM International is the leading OEM for ALD and therefore interesting to follow in more detail. As announced here before on the ALD news blog, ASM International held an Q4/2015 earnings call on Wednesday 24 February. Here are some of the highlights with respect to ALD from that call. The full transcript of the call is available through Seeking Alpha (here). The webcast play back is also available at ASM´s web (here).

ASM International's (ASMI) CEO Chuck Del Prado on Q4 2015 Results:

“2015 was another year of success for our ALD business. Despite moderating conditions in the broader market during the year, momentum in the ALD market was again solid. On the back of a continued strong and leading position, we were able to take advantage of the growth in ALD demand, as evidenced by the double-digit growth in our net sales in 2015.“
  • ALD was again the key driver behind the growth in revenue in 2015 and accounted for clearly more than half of our total equipment revenue.
  • ASM has broadened their customer base beyond the traditional top three and had a growing contribution from the top 4 to top 10 customers.
  • The share of ALD of the total deposition equipment market will further increase.
  • More of the critical patterning steps at 10-nanometer will require spacer-defined double patterning based on single-wafer/mini-batch ALD.

Saturday, February 27, 2016

New Book - Nanocoatings by Atomic Layer Deposition for Medical Applications

Here is a new ALD book on ALD for medical applications as coatings for on different implantable orthopedic alloys:


This book deals with synthesize high quality nanocoatings thin films of alumina, titania, and alumina/titania multilayers with 25 nm and 50 nm thickness by Atomic Layer Deposition (ALD) method on different implantable orthopedic alloys ( Co-28Cr-6Mo ASTM F75 and Stainless steel 316L), and then characterization the structure of thin films by advanced nanotechnology methods and facilities, study the localized corrosion resistance in SBF, determine the biocompatibility, and demonstrate the bioactivity.

Book Details:

ISBN-13: 978-3-639-86267-6
ISBN-10:
3639862678
EAN: 9783639862676
Book language:
English
By (author) :
Haitham Mohammed Wadullah
Muna Khethier Abbass
Sami Abualnoun Ajeel

Number of pages:
192
Published on:
2016-01-15
Category:
Technology

Picosun 2016 ALD Product Brochures

Picosun has updated with 2016 version of their ALD Product line. Please find them all accessible below as pdf documents.

Picosun 2016 ALD Product Brochures

Picosun_brochure_2016_300mm_online
Picosun Brochure 300 mm Product Line

(pdf, 837 KB)
Picosun_brochure_2016_200mm_online
Picosun Brochure 200 mm Product Line

(pdf, 794 KB)

Thursday, February 25, 2016

Picosun continues strong growth and expands facilities

For those of you following this blog you know hat ALD is in a continous boom since some time. Picosun Oy, today announce thet they see a  strong growth and rapid expansion in the global industrial markets (Press release). Today Picosun has more than 50 emloyees and are growing. The company is actually twice the size of ASM Microchemistry today that was fully aquired by ASM International in 1999 (link).

The total value of the company’s new tool orders increased 41 % in the calendar year 2015. Picosun has been growing at a double-digit rate for several consecutive years. 
Team Picosun, today counting > 50 employees.

“We are happy that we got so many interesting new customers, but even happier we are with the growth of our repeat sales. It tells us that we have done lots of things right,” states Mr. Kustaa Poutiainen, Chairman of the Board and Chief Executive Officer of Picosun.

A significant part of the growth consisted of the deliveries of PICOPLATFORM™ vacuum cluster systems and automated PICOSUN™ P-series cassette loading batch tools to leading semiconductor industry customers in Asia and USA.

“Our aim is to produce ALD tools of the highest quality. They have been well received in industrial markets,” Mr. Poutiainen continues.

Picosun is also expanding its production capacity and personnel amount. In key role are especially the new subsidiaries in the central market areas such as Asia. The latest addition is the Japan unit starting in Q2/2016.

“Hiring new professionals, not only here in Finland but all over our worldwide subsidiary network, and extending our production facilities is crucial to enable even bigger success for our brand. The future looks bright for Picosun,” states Mr. Poutiainen.

Sunday, February 21, 2016

AVS Journal of Vacuum Science and Technology Recognizes Dr. Kaupo Kukli as One of its Most Valuable Reviewers (MVR)

AVS  Journal of Vacuum Science and Technology Recognizes  Dr. Kaupo Kukli 
as One of its Most Valuable Reviewers (MVR)
 
Here are recent JVST articles coauthored by Dr. Kaupo Kukli, University of Helsinki, available free for download during the next 30 days:
Dysprosium oxide and dysprosium-oxide-doped titanium oxide thin films grown by atomic layer depositionAile Tamm, Jekaterina Kozlova, Lauri Aarik, Jaan Aarik, Kaupo Kukli, Joosep Link and Raivo Stern
J. Vac. Sci. Technol. A 33, 01A127 (2015) |  Read More

Influence of precursor chemistry and growth temperature on the electrical properties of SrTiO3-based metal-insulator-metal capacitors grown by atomic layer depositionH. García, H. Castán, A. Gómez, S. Dueñas, L. Bailón, K. Kukli, M. Kariniemi, M. Kemell, J. Niinistö, M. Ritala and M. Leskelä
J. Vac. Sci. Technol. B 29, 01AC04 (2011) |  Read More
Behavior of zirconium oxide films processed from novel monocyclopentadienyl precursors by atomic layer depositionKaupo Kukli, Jaakko Niinistö, Aile Tamm, Mikko Ritala and Markku Leskelä
J. Vac. Sci. Technol. B 27, 226 (2009) | Read More 

Irradiation effect on dielectric properties of hafnium and gadolinium oxide gate dielectricsH. García, S. Dueñas, H. Castán, A. Gómez, L. Bailón, R. Barquero, K. Kukli, M. Ritala and M. Leskelä
J. Vac. Sci. Technol. B 27, 416 (2009) |  Read More
Electrical properties of thin zirconium and hafnium oxide high-k gate dielectrics grown by atomic layer deposition from cyclopentadienyl and ozone precursors
S. Dueñas, H. Castán, H. Garcia, A. Gómez, L. Bailón, K. Kukli, J. Niinistö, M. Ritala and M. LeskeläJ. Vac. Sci. Technol. B 27, 389 (2009) | Read More

Atomic layer epitaxy growth of aluminum oxide thin films from a novel Al(CH3)2Cl precursor and H2OKaupo Kukli, Mikko Ritala, Markku Leskelä and Janne Jokinen
J. Vac. Sci. Technol. A 15, 2214 (1997) | Read More

Saturday, February 20, 2016

New method using ALD enables storage and controlled release of pharmaceutical substances in the body

Maria Asplund from Sweden, since April 2013 appointed a Junior Group Leader for the Cluster of Excellence BrainLinks-BrainTools at the University of Freiburg and her doctoral candidate Christian Böhler has provided the foundations for a new molecular storage method using ALD. The method could find its way into clinical practice in the foreseeable future. 


The team have succeeded in creating a compound of organic and inorganic materials that is particularly well suited for the compact storage of pharmacologically active substances. The method involves an ALD ZnO layer that was deposited in a hot wall reactor OpAL - manufactured by Oxford Instruments. 

The full story is available here in PhysOrg and in the open access publication below. 

Please also check out earlier stories here on the ALD Blog on using ALD for controlled drug delivery from Nanexa of Sweden:

A Simple Approach for Molecular Controlled Release based on Atomic Layer Deposition Hybridized Organic-Inorganic Layers

Christian Boehler, Firat Güder, Umut M. Kücükbayrak, Margit Zacharias & Maria Asplund
Scientific Reports 6, Article number: 19574 (2016), doi:10.1038/srep19574 


The storage layer (marked green) can be used to store drugs; the surface layer (marked blue) enables their release in controlled dosages. Credit: Christian Böhler/University of Freiburg (Picture from PhysOrg: http://phys.org/news/2016-02-method-enables-storage-pharmaceutical-substances.html#jCp)

On-demand release of bioactive substances with high spatial and temporal control offers ground-breaking possibilities in the field of life sciences. However, available strategies for developing such release systems lack the possibility of combining efficient control over release with adequate storage capability in a reasonably compact system. In this study we present a new approach to target this deficiency by the introduction of a hybrid material. This organic-inorganic material was fabricated by atomic layer deposition of ZnO into thin films of polyethylene glycol, forming the carrier matrix for the substance to be released. Sub-surface growth mechanisms during this process converted the liquid polymer into a solid, yet water-soluble, phase. This layer permits extended storage for various substances within a single film of only a few micrometers in thickness, and hence demands minimal space and complexity. Improved control over release of the model substance Fluorescein was achieved by coating the hybrid material with a conducting polymer film. Single dosage and repetitive dispensing from this system was demonstrated. Release was controlled by applying a bias potential of ±0.5 V to the polymer film enabling or respectively suppressing the expulsion of the model drug. In vitro tests showed excellent biocompatibility of the presented system.

Thursday, February 18, 2016

RASIRC's water-free anhydrous hydrogen peroxide technology for ALD

Next week we at ALD Lab Dresden will meet up with Jeff Spiegelman, Founder and President of RASIRC to discuss some new technologies for delivery of difficult to deliver ALD co reactants. I am now happy to see the product release of the Brute Peroxide H2O2 (see press release below) is here. We had an early version of this on an ASM Pulsar 3000 some time ago here in Dresden. As you can see in the picture below the design you can fit this unit into basically any ALD gas box on the market  and just like that you have access to highly concentrated H2O2 - clever design indeed!



RASIRC released research results showing that anhydrous hydrogen peroxide gas enables a five-fold increase in surface hydroxyl density when compared to water in studies involving ALD nucleation on SiGe substrates. Hydroxyl density is an important factor in minimizing interfacial defects, increasing uniformity and improving next generation semiconductor device performance. BRUTE Peroxide provides a stable, reliable flow of anhydrous hydrogen peroxide for atomic layer deposition (ALD) and atomic layer etch (ALE). RASIRC products generate specialty gases from liquid sources to meet the demands of next generation semiconductor manufacturing.


BRUTE Peroxide generates water-free hydrogen peroxide gas and can be used with or without a carrier gas. Precursors that previously needed high temperatures or failed to grow uniform films with ozone, plasma, or water now have a new partner molecule for oxide film growth. BRUTE Peroxide is the only commercially available technology that can deliver up to 99.9% H2O2 gas by volume. Traditional H2O2 vaporizers, on the other hand, deliver less than 1% H2O2 gas by volume from standard H2O2 liquid source. (www.rasirc.com)


Next-generation devices are moving from Silicon to Silicon-Germanium Channels. It is critical to form a stable uniform oxides without Si or Ge dangling bonds on the interface grown by ALD at low temperatures. “Research proves conclusively that the presence of water in some ALD and ALE processes negatively affects interfacial layer uniformity, leading to higher defect density, slower initiation, and degraded performance,” said Jeffrey Spiegelman, RASIRC President and Founder. “Tests of BRUTE hydrogen peroxide confirm that removing the water during processing with dry H2O2 enabled a three-fold improvement in hydroxyl density compared to 30 percent H2O2 and water source.”

Full report: http://www.rasirc.com/news/releases/160216-RASIRC-Anhydrous-Hydrogen-Peroxide.htm

Veeco Instruments, imec Enter Development Deal for Gallium Nitride Epi Wafers

Veeco Instruments reported that it has signed a joint development project (JDP) agreement with imec, a Belgium-based nano-electronics research center, to accelerate the development of Gallium Nitride (GaN) based, power electronic devices using GaN Epi wafers. Under the development project, the GaN Epi wafers will be created using Veeco’s Propel Power GaN metal organic chemical vapor deposition (MOCVD) system. Veeco’s Propel® Power GaN MOCVD system.


Veeco’s Propel™ Power GaN MOCVD system is designed specifically for the power electronics industry. Featuring a single-wafer reactor platform, capable of processing six- and eight-inch wafers, the system deposits high-quality GaN films for the production of highly efficient power electronic devices. The single-wafer reactor is based on Veeco’s leading TurboDisc® design with breakthrough technology, including the new IsoFlange™ and SymmHeat™ technologies that provide homogeneous laminar flow and uniform temperature profile across the entire wafer. Customers can easily transfer processes from Veeco K465i™ and MaxBright™ systems to the Propel Power GaN MOCVD platform. (www.veeco.com)

Imec has already demonstrated significant gains in GaN layer uniformity and run-to-run repeatability with Veeco’s Propel system, resulting in significantly improved power device yields. The single wafer reactor incorporates Veeco’s proprietary TurboDisc® technology that delivers superior film uniformity, run-to-run control and defect levels compared to batch reactors.

Tuesday, February 16, 2016

VPHA ALD thesis list under construction

In the Virtual Project on the History of ALD (VPHA, http://vph-ald.com), we are collecting together a list of doctoral theses (PhD-equivalent) made on ALD worldwide. The list is planned to be published in the VPHA ALD History Review, Item #10 in the VPHA Publication Plan. Participation in VPHA is volunteer-based and open for everyone. 

More information: https://www.european-ald.net/news/ald-thesis-list-under-construction 

A nanolaser for fast and efficient data processing with light from TU München

As reported by TU Munich in EurekAlert!: Physicists at the Technical University of Munich (TUM) have developed a nanolaser, a thousand times thinner than a human hair. Thanks to an ingenious process, the nanowire lasers grow right on a silicon chip, making it possible to produce high-performance photonic components cost-effectively. This will pave the way for fast and efficient data processing with light in the future.
 

"Today already, transistors are merely a few nanometers in size. Further reductions are horrendously expensive," says Professor Jonathan Finley, Director of the Walter Schottky Institute at TUM. "Improving performance is achievable only by replacing electrons with photons, i.e. particles of light."

This news release is available in German.


Publications:

Monolithically Integrated High-beta Nanowire Lasers on Silicon
B. Mayer, L. Janker, B. Loitsch, J. Treu, T. Kostenbader, S. Lichtmannecker, T. Reichert, S. Morkötter, M. Kaniber, G. Abstreiter, C. Gies, G. Koblmüller, and J. J. Finley;
Nano Letters, 2016, 16 (1), pp 152-156 - DOI: 10.1021/acs.nanolett.5b03404

Coaxial GaAs-AlGaAs core-multishell nanowire lasers with epitaxial Gain control
T. Stettner, P. Zimmermann, B. Loitsch, M. Döblinger, A. Regler, B. Mayer, J. Winnerl, S. Matich, H. Riedl, M. Kaniber, G. Abstreiter, G. Koblmüller, and J. J. Finley;
Applied Physics Letters, 108, 011108 (2016) - DOI: 10.1063/1.4939549

Continuous wave lasing from individual GaAs-AlGaAs core-shell nanowires
B. Mayer, L. Janker, D. Rudolph, B. Loitsch, T. Kostenbader, Abstreiter, G. Koblmüller, and J. J. Finley; Applied Physics Letters 108, Vol. 8, to appear on Feb. 22nd (2016)

 

Monday, February 15, 2016

Resilient, customized, and high-performing graphene on top of common glass

Brookhaven National Laboratory reports: Graphene, the two-dimensional powerhouse, packs extreme durability, electrical conductivity, and transparency into a one-atom-thick sheet of carbon. Despite being heralded as a breakthrough "wonder material," graphene has been slow to leap into commercial and industrial products and processes.

Now, scientists have developed a simple and powerful method for creating resilient, customized, and high-performing graphene: layering it on top of common glass. This scalable and inexpensive process helps pave the way for a new class of microelectronic and optoelectronic devices—everything from efficient solar cells to touch screens.


 
Left: Schematic of a graphene field-effect-transistor used in this study. The device consists of a solar cell containing graphene stacked on top of a high-performance copper indium gallium diselenide (CIGS) semiconductor, which in turn is stacked on an industrial substrate (either soda-lime glass, SLG, or sodium-free borosilicate glass, BSG). The research revealed that the SLG substrate serves as a source of sodium doping, and improved device performance in a way not seen in the sodium-free substrate. Right: A scanning electron micrograph of the device as seen from above, with the white scale bar measuring 10 microns, and a transmission electron micrograph inset of the CIGS/graphene interface where the white scale bar measures 100 nanometers. (from Brookhaven National Laboratory report)
 
The collaboration—led by scientists at the U.S. Department of Energy's (DOE) Brookhaven National Laboratory, Stony Brook University (SBU), and the Colleges of Nanoscale Science and Engineering at SUNY Polytechnic Institute—published their results February 12, 2016, in the journal Scientific Reports.[Free, Open Access]
 
For sure you already spotted teh Al2O3 dielectric on the picture and yes it is deposietd by ALD, as reported in the paper: "Next, a 200 nm top gate-dielectric layer (Al2O3) is blanket deposited on GR/CIGS/Mo/SLG(BSG) or GR/SLG(BSG) substrates via Atomic Layer Deposition at 1 Ǻ/cycle using (Tri Methyl Aluminum) TMA/Water precursor at 250 °C." The detailed process integration can be foudn in the Supporting information (insered below)


 (This work is licensed under a Creative Commons Attribution 4.0 International License)

Sunday, February 14, 2016

What is limiting low-temperature atomic layer deposition of Al2O3?

Low temperature ALD has a number of application for applications that can not cope with high temperature like flexible electronics and display technologies where Al2O3 is typically used as a barrier material against moisture or as in insulating dielectric. Here is an interesting study from Vincent Vandalon and Erwin Kessels at TU Eindhoven aiming at revealing what is limiting the growth at low temperatures.
 
 

They have investigated the surface chemistry of ALD Al2O3 using a technique called broadband sum-frequency generation (BB-SFG). BB-SFG is interface selective with a sub-monolayer sensitivity for –CH3 groups and with fairly short acquisition times.

Advantages with BB-SFG:
  • the measured signals are directly correlated to the absolute surface density of the specie.
  • the simultaneous detection of species which are changing after an ALD halfcycle and species which are persistent over the ALD halfcycles.
 
Broadband sum-frequency generation (BB-SFG) on surfaces: (a) schematic illustration of the technique applied to an amorphous Al2O3 surface; (b) schematic showing that a wide spectral coverage in the IR can be obtained within one laser shot and with femtosecond time-resolution. (Picture from Prof. Kessels Plasma and Materials Processing research group page, more information can be found here)


What is limiting low-temperature atomic layer deposition of Al2O3? A vibrational sum-frequency generation study

V. Vandalon and W. M. M. Kessels
Appl. Phys. Lett. 108, 011607 (2016); http://dx.doi.org/10.1063/1.4939654

The surface reactions during atomic layer deposition(ALD) of AlO from Al(CH) and HO have been studied with broadband sum-frequency generation to reveal what is limiting the growth at low temperatures. The –CH surface coverage was measured for temperatures between 100 and 300 °C and the absolute reaction cross sections, describing the reaction kinetics, were determined for both half-cycles. It was found that –CH groups persisted on the surface after saturation of the HO half-cycle. From a direct correlation with the growth per cycle, it was established that the reduced reactivity of HO towards –CH is the dominant factor limiting the ALD process at low temperatures.

Surface-enhanced Raman spectroscopy (SERS) for studying ALD growth used for the first time

Here is a recent paper from Prof. Stairs and Prof. Van Duyne research groups at North Western University on using surface-enhanced Raman spectroscopy (SERS) for studying ALD growth for the first time. Thanks Vincent Vandalon for sending me this information!
  • SERS overcomes the sensitivity limitations of normal Raman scattering because of excitation of localized surface plasmon resonances (LSPRs) that result in enhanced electromagnetic fields around noble metal nanostructures such as Ag, Au, and Cu.
  • The high sensitivity and distance dependence of SERS make it possible to evaluate the location of ALD deposits with respect to the enhancing substrate.



The ALD system at Van Duyne Research Group at North Western University. The ALD reactor can monitor ALD surface reactions in-situ using SERS and quartz crystal microbalance. The reactor will be connected to a GC for in-situ catalytic studies. The GC is equipped with a Thermal Conductivity and Flame Ionization detector so both permanent gases and hydrocarbons can be detected. (Picture and information form Van Duyne Research Group page)

Prof. Richard P. Van Duyne is the discoverer of Surface-enhanced Raman Spectroscopy (1977), the inventor of Nanosphere Lithography (1995) and Localized Surface Plasmon Resonance Spectroscopy (2000). More information can be found here.

Prof. Richard P. Van Duyne

Probing the Chemistry of Alumina Atomic Layer Deposition Using Operando Surface-Enhanced Raman Spectroscopy

Sicelo Simon Masango, Ryan A. Hackler, Anne-Isabelle Henry, Michael O. McAnally, George C. Schatz, Peter C. Stair, and Richard P. Van Duyne
J. Phys. Chem. C, Just Accepted Manuscript
DOI: 10.1021/acs.jpcc.5b11487
Publication Date (Web): January 28, 2016

This work demonstrates for the first time the capability of measuring surface vibrational spectra for adsorbates during atomic layer deposition (ALD) reactions using operando surface-enhanced Raman spectroscopy (SERS). We use SERS to study alumina ALD growth at 55 °C on bare silver film-over nanosphere (AgFON) substrates as well as AgFONs functionalized with thiol self-assembled monolayers (SAMs). On bare AgFONs, we observe the growth of Al-C stretches, symmetric C-H and asymmetric C-H stretches during the trimethylaluminum (TMA) dose half-cycle and their subsequent decay after dosing H2O. Al-C and C-H vibrational modes decay in intensity with time even without H2O exposure providing evidence that residual H2O in the ALD chamber reacts with –CH3 groups on AgFONs. The observed Al-C stretches are attributed to TMA dimeric species on the AgFON surface in agreement with density functional theory (DFT) studies. We observe Al-C stretches and no thiol vibrational frequency shifts after dosing TMA on AgFONs functionalized with toluenethiol and benzenethiol SAMs. Conversely, we observe thiol vibrational frequency shifts and no Al-C stretches for AgFONs functionalized with 4-mercaptobenzoic acid and 4-mercaptophenol SAMs. Lack of observed Al-C stretches for COOH- and OH-terminated SAMs is explained by the spacing of Al-(CH3)x groups from the SERS substrate. TMA penetrates through SAMs and reacts directly with Ag for benzenethiol and toluenethiol SAMs and selectively reacts with the –COOH and –OH groups for 4-mercaptobenzoic acid and 4-mercaptophenol SAMs, respectively. The high sensitivity and chemical specificity of SERS provides valuable information about the location of ALD deposits with respect to the enhancing substrate. This information can be used to evaluate the efficacy of SAMs in blocking or allowing ALD deposition on metal surfaces. The ability to probe ALD reactions using SERS under realistic reaction conditions will lead to a better understanding of the mechanisms of ALD reactions.

Saturday, February 13, 2016

The TEMAZr - O2 ALD Process for high surface area ZrO2 applications in a von Ardenne ALD reactor

A brilliant publication from Forschungszentrum Jülich and RWTH Aachen. Since I happen to have a PhD in using molecular oxygen for ALD of metal oxides - one of the few - I must say that this is the best paper the last 10 years or so. Alright, I know, only in the combination with metal iodides (one day they will come and dominate ...)

Jokes aside, if you want to get in there in porous material with large surface area and not have endless purge times to get the water out of there O2 is a very good choice - why didn´t I write that in my thesis? This must be the way also for particle ALD coatings.

Sternwarte Manfred v. Ardenne in Loschwitz, Plattleite (This file is made available under the Creative Commons CC0 1.0 Universal Public Domain Dedication)


As a side information, they used a Von Ardenne LS400C ALD-MOCVD reactor and you can find more information here about that one. Since this post anyway got sort of personal I can admit that Von Ardenne is my next door neighbor and that they have one of the coolest locations (photo above) you can think of. If you come to Dresden let me know and I will show you.


Von Ardenne LS400C ALD-MOCVD reactor

Atomic-layer-controlled deposition of TEMAZ/O2–ZrO2 oxidation resistance inner surface coatings for solid oxide fuel cells

Thomas Keuter, Georg Mauer, Frank Vondahlen, Riza Iskandar, Norbert H. Menzler, Robert Vaßen

Surface and Coatings Technology, Volume 288, 25 February 2016, Pages 211–220
doi:10.1016/j.surfcoat.2016.01.026

Solid oxide fuel cells (SOFCs) directly convert the chemical energy of fuels into electrical energy with high efficiency. Under certain conditions oxygen can diffuse to the Ni/8 mol% Y2O3-doped ZrO2 substrate of anode-supported SOFCs, then the nickel re-oxidizes, leading to cracks in the electrolyte and cell failure thus limiting the durability of SOFCs. In order to improve the stability of SOFCs with respect to oxidation, the inner surface of the porous substrate is coated with a ZrO2 oxidation resistance layer using atomic layer deposition (ALD) with the precursors tetrakis(ethylmethylamino)zirconium (TEMAZ) and molecular oxygen. This TEMAZ/O2–ZrO2 ALD process has not yet been reported in the literature and hence, the development of the process is described in this paper. The inner surface of the porous substrate is coated with ZrO2 and the film thickness is compared with theoretical predictions, verifying the ALD model. Furthermore, the coating depth can be estimated using a simple analytical equation. The ALD ZrO2 film protects the nickel in the substrate against oxidation for at least 17 re-oxidation/re-reduction cycles. The ZrO2 inner surface coating is a highly promising candidate for enhancing the resistance of SOFCs to re-oxidation because of the excellent oxidation resistance and good cycling stability of the film.

ALD HfO2 HKMG FETs on CVD mono layer graphene channels on 200 mm glass wafers by Samsung

Samsung Advanced Institute of Technology and Samsung-SKKU Graphene/2D Center showcases ALD HfO2 high-k transistors on mono layer graphene channels using 200 mm glass wafers. The ALD Process is TEMAHf/H2O running at 200 C and they achieve a CET of ~1.5 nm from an array of top-gated metal-oxide-graphene field-effect transistors.
 
Check out the free to down load Nature Scientific Report below.

 
SAIT (Samsung Advanced Institute of Technology)

Thickness scaling of atomic-layer-deposited HfO2 films and their application to wafer-scale graphene tunnelling transistors. 

Seong-Jun Jeong, Yeahyun Gu, Jinseong Heo, Jaehyun Yang, Chang-Seok Lee, Min-Hyun Lee, Yunseong Lee, Hyoungsub Kim, Seongjun Park & Sungwoo Hwang
Sci. Rep. 6, 20907; doi: 10.1038/srep20907 (2016).



(a) Optical image of the MOG-FET arrays fabricated on a 6″ Si wafer and a schematic illustration showing the structure of the MOG-FET device. (b) Optical microscope image of a fabricated MOG-FET unit device. (c) Cross sectional TEM image showing the HfO2 gate dielectric layer with a thickness of ~5 nm (including the seed layer converted to a HfO2 layer) on monolayered graphene. (d) Statistical distribution of the sheet resistance of a monolayered graphene before and after the ALD of HfO2 with and without an e-beam-evaporated Hf seed layer. Representative electrical characteristics measured from the fabricated MOG-FET devices: (e) gate dielectric leakage current, (f) gate capacitance as a function of the frequency, and (g) transfer curve (ID-VG). (Sci. Rep. 6, 20907; doi: 10.1038/srep20907 (2016)., Creative Commons Attribution 4.0 International License)

The downscaling of the capacitance equivalent oxide thickness (CET) of a gate dielectric film with a high dielectric constant, such as atomic layer deposited (ALD) HfO2, is a fundamental challenge in achieving high-performance graphene-based transistors with a low gate leakage current. Here, we assess the application of various surface modification methods on monolayer graphene sheets grown by chemical vapour deposition to obtain a uniform and pinhole-free ALD HfO2 film with a substantially small CET at a wafer scale. The effects of various surface modifications, such as N-methyl-2-pyrrolidone treatment and introduction of sputtered ZnO and e-beam-evaporated Hf seed layers on monolayer graphene, and the subsequent HfO2 film formation under identical ALD process parameters were systematically evaluated. The nucleation layer provided by the Hf seed layer (which transforms to the HfO2 layer during ALD) resulted in the uniform and conformal deposition of the HfO2 film without damaging the graphene, which is suitable for downscaling the CET. After verifying the feasibility of scaling down the HfO2 thickness to achieve a CET of ~1.5 nm from an array of top-gated metal-oxide-graphene field-effect transistors, we fabricated graphene heterojunction tunnelling transistors with a record-low subthreshold swing value of <60 mV/dec on an 8″ glass wafer.

UPDATE: HERALD "ALD Precursors and processes" Workshop May 23-24 in Helsinki, Finland

Finnish Centre of Excellence in ALD (ALDCoE) welcomes you to a combined HERALD Working group 2 workshop and 4th annual seminar of ALDCoE to be held May 23-24, 2016 in Helsinki, Finland. The topics of the two-day event will include precursor and process development, including in situ studies, for ALD. Invited talks and poster contributions from both industry and academia will give a broad overview on the latest advancements on the topic.

Woorkshop on ALD Precursors and processes 

For more details and registration, go to: http://www.aldcoe.fi/herald/index.html Registration is free of charge (number of attendees is limited) and now open at the event website. Check out also the available sponsorship opportunities. 
Workshop chair: Markku Leskelä

Confirmed Invited Speakers:

Prof. Jaan Aarik, University of Tartu
Prof. Christophe Detavernier, Ghent University
Prof. Erwin Kessels, Eindhoven University of Technology
Prof. Marc Heyns, IMEC
Prof. Anjana Devi, Ruhr University Bochum
Prof. Shi Woo Rhee, POSTECH
Dr. Simon Rushworth, EpiValence
Dr. Paul Williams, Pegasus Chemicals
Dr. Dennis Hausmann, Lam Research
Dr. Tiina Sarnet, Picosun
Dr. Andy Zauner, Air Liquide

Program: http://www.aldcoe.fi/herald/program.html


ASM International 4Q/2015 Conference Call and Webcast February 24th

February 24th will be a busy day for ALD - the Versum Materials call and then the ASMi 4Q/2015 Conference Call and Webcast. If anything interesting with respect to ALD comes up I will let you all know here on the blog.



ASM INTERNATIONAL N.V. ANNOUNCES AVAILABILITY AND TIMING OF THE FOURTH QUARTER 2015 CONFERENCE CALL AND WEBCAST

As announced by ASMi: February 10, 2016 ASM International N.V. (Euronext Amsterdam: ASM) will report operating results for the 2015 fourth quarter ended December 31, 2015 at approximately:

  • 00:00 (midnight) Continental European Time - Tuesday/Wednesday, February 24, 2016
  • 6:00 p.m. US Eastern Time - Tuesday, February 23, 2016.
ASM International will host an investor conference call and web cast on Wednesday, February 24, 2016 at 15:00 Continental European Time (9:00 a.m. - US Eastern Time).

The teleconference dial-in numbers are as follows:
  • United States:          +1 212 444 0481
  • International:            +44 (0)20 3427 1916
  • The Netherlands:     +31 (0)20 713 2790
  • Access Code:          2811744
A simultaneous audio webcast and replay will be accessible at www.asm.com.

Air Products Spin-off Company Versum Materials to host Investor Teleconference on February 24

Air Products Materials Technologies, the business that is planned to spin off as Versum Materials, will hold an introductory call for investors and analysts on Wednesday, 24 February, 2016 at 10:00 a.m. ET. The purpose of the call will be to share with investors and analysts specific details on Versum Materials' business, products, customers, and previously disclosed financials. 
 
As reported in a press release here

The new logo for Versum Materials - Nice One! (as released 23 of December 2015)

"The Versum Materials logo was designed to represent the action of moving forward, energy and forward-thinking ideas to take flight," Guillermo Novo, who will become Versum Materials' CEO, said in a news release.
 
Leading the teleconference will be Versum Materials' leadership team members Guillermo Novo, previously announced to become CEO of Versum Materials, and George Bitto, who is to serve as chief financial officer and information technology director.

The teleconference also will be open to the public and the media in listen-only mode by telephone and internet broadcast. There will be a Q&A period for investors and analysts at the end of the call.

As a follow-up to this teleconference, additional information will become available on Versum Materials as we move closer to the spin-off date, which we expect to occur prior to September 2016.

Live teleconference:  913-312-0839
Passcode: 3830469
Internet broadcast/slides: Available on the Event Details page on Air Products' Investor Relations website.
Telephone replay: 1-888-203-1112 (domestic) or +1-719-457-0820 (international)
Passcode: 3830469
Available from 2 p.m. ET on February 24 through 2 p.m. ET on March 2, 2016.
Internet replay: Available on the Event Details page on Air Products' Investor Relations website.

A Combined Atomic-Layer-Deposition-in-MOF and Metal-Exchange Approach

Here is more ALD MOF work just published in Chemistry of Materials. Or rather AIM-ME = atomic layer deposition in metal–organic frameworks (MOFs) and metal exchange (ME) as a technique to install dispersed metal atoms into the mesoporous MOF. The ALD depositions were performed in a Savanah S100 system from Ultratech Cambridge Nanotech using a home build stainless steal powder reactor insert. Abstract is given blow.

Synthetic Access to Atomically Dispersed Metals in Metal–Organic Frameworks via a Combined Atomic-Layer-Deposition-in-MOF and Metal-Exchange Approach

Rachel C. Klet, Timothy C. Wang, Laura E. Fernandez, Donald G. Truhlar, Joseph T. Hupp, and Omar K. Farha
Chem. Mater., Article ASAP, DOI: 10.1021/acs.chemmater.5b04887

ALD-in-MOF Metal-Exchange (Figure above from graphical abstract)
 
The combination (AIM-ME) of atomic layer deposition in metal–organic frameworks (MOFs) and metal exchange (ME) is introduced as a technique to install dispersed metal atoms into the mesoporous MOF, NU-1000. Zn-AIM, which contains four Zn atoms per Zr6 node, has been synthesized through AIM and further characterized through density functional calculations to provide insight into the possible structure. Zn-AIM was then subjected to modification via transmetalation to yield uniform porous materials that present nonstructural Cu, Co, or Ni atoms.

TSMC Belgium & Sweden demonstrate first un-strained InAs FinFETs

Researchers led by TSMC R&D Europe B.V. in Leuven Belgium (Imec) and Lund Sweden (Lund Nano Lab), claim the first demonstration of an unstrained indium arsenide (InAs) fin field-effect transistor (finFET) with 20nm fin height (Hfin) [R. Oxland et al, IEEE Electron Device Letters, published online 29 January 2016]. The team also included researchers from University of Glasgow in the UK, Texas State University in the USA, and TSMC in Taiwan.

The gate insulation consisted of 5nm ZrO2 deposited by ALD, yielding an 1.2 nm equivalent oxide thickness (EOT). 

 
(a) Layer structure used, showing the pseudomorphic InAlAs etch-stop layer and (b) process flow for fabrication of InAs finFETs, starting with first step after wafer growth. (Figure from Semiconductor Today)

Full story here by Mike Cooke in Semiconductor Today and the IEEE Electron Devices abstract below.

InAs FinFETs with Hfin = 20 nm fabricated using a top-down etch process

Oxland, R. Li, X. ; Chang, S. ; Wang, S. ; Vasen, T. ; Ramvall, P. ; Contreras-Guerrero, R. ; Rojas-Ramirez, J. ; Holland, M. ; Doornbos, G. ; Chang, Y. ; Macintyre, D. ; Thoms, S. ; Droopad, R. ; Yeo, Y. ; Diaz, C. ; Thayne, I. ; Passlack, M.

 IEEE Electron Device Letters, published online 29 January 2016

We report the first demonstration of InAs FinFETs with fin width Wfin in the range 25–35 nm, formed by inductively coupled plasma etching. The channel comprises defect-free, lattice-matched InAs with fin height Hfin = 20 nm controlled by the use of an etch stop layer incorporated into the device heterostructure. For a gate length Lg = 1 nm, peak transconductance gm,peak = 1430 µS/µm is measured at Vd = 0.5 V demonstrating that electron transport in InAs fins can match planar devices.

Thursday, February 11, 2016

Oregon State present ALD of 2D alternate channel material MoS2 on 6 inch wafers

Graphene has a big problem - it lacks a bandgap which is needed for many electronic devices and this has led searching of alernate 2D materials. Most focus today is on transition metal dichalcogenides (TMDs). One of the most promising TMDs is molybdenum disulfide (MoS) with a bandgap (∼1.2 eV) for bulk MoS and a direct bandgap (∼1.8 eV) in the mono layer form monolayer.

Some weeks ago it was reported that ALD sales booming for Arradiance GEMStar XT line. Here is an Open Source paper in JVSTA on depositing 2D MoS2 by alternate pulsing of MoCl5 and H2S on 6 inch wafers using an Arradiance GEMStar ALD reactor by School of EECS, Oregon State University and Sharp Lab of America.
 

Installed Fall 2010: Arradiance Gemstar (see press release); 150mm ALD reactor with 3D substrate capability, in-situ quartz crystal microbalance, and 5 precursor source lines (1 gas; 2 vapour draw for liquids; and 2 low vapor pressure sources, heated up to 120C, one with N2 boost)

Here you can find more details on the research and facilities of Prof. John F. Conley`s Novel Materials and Devices Group at Oregon State: http://web.engr.oregonstate.edu/~jconley/facilities.html. In Addition to the Arradiance GEMStar they are operating a Picosun SUNALE R-200 200mm Plasma Enhanced ALD system.

Atomic layer deposition of two dimensional MoS on 150 mm substrates

Arturo Valdivia, Douglas J. Tweet and John F. Conley Jr.
J. Vac. Sci. Technol. A 34, 021515 (2016); http://dx.doi.org/10.1116/1.4941245

Low temperature atomic layer deposition(ALD) of monolayer to few layer MoS uniformly across 150 mm diameter SiO/Si and quartz substrates is demonstrated. Purge separated cycles of MoCl and HS precursors are used at reactor temperatures of up to 475 °C. Raman scattering studies show clearly the in-plane (E1) and out-of-plane (A) modes of MoS. The separation of the E1 and A peaks is a function of the number of ALD cycles, shifting closer together with fewer layers. X-ray photoelectron spectroscopy indicates that stoichiometry is improved by postdeposition annealing in a sulfur ambient. High resolution transmission electron microscopy confirms the atomic spacing of monolayer MoS thin films.

Wednesday, February 10, 2016

SUNY Poly in $500M EUV R&D Program with Globalfoundries, IBM and Tokyo Electron

SUNY Poly and GLOBALFOUNDRIES Announce New $500M R&D Program in Albany To Accelerate Next Generation Chip Technology. Arrival of Second Cutting Edge EUV Lithography Tool Launches New Patterning Center That Will Generate Over 100 New High Tech Jobs at SUNY Poly 


“Today’s announcement is a direct result of Governor Cuomo’s innovation driven economic development model. His strategic investments supporting the state’s world class nanotechnology infrastructure and workforce have made us uniquely suited to host the new APPC, which will enable the continuation of Moore’s Law and unlock new capabilities and opportunities for the entire semiconductor industry,” said Dr. Alain Kaloyeros, President and CEO of SUNY Polytechnic Institute. “In partnership with GLOBALFOUNDRIES, IBM and Tokyo Electron, we will leverage our combined expertise and technological capabilities to meet the critical needs of the industry and advance the introduction of this complex technology.” 

Press release from Globalfoundries: here


UPDATE - Speakers for ALD2016, 24-27 July Dublin, Ireland


We are delighted to announce the first speakers and tutorials for ALD 2016:

  • Ray Adomaitis, University of Maryland
  • Sumit Agarwal, Colorado School of Mines, USA
  • Sean Barry, Carleton University, Canada
  • Rob Clark, TEL America
  • Mike Cooke, Oxford Instruments
  • Annelies Delabie, IMEC
  • Jolien Dendooven, University of Ghent
  • Mickael Gross-Jean, ST Microelectronics
  • Joseph Hupp, Northwestern University
  • Ying-Bing, Jiang, University of New Mexico
  • Byung Joon Choi, Seoul National University of Science and Technology
  • Keren Kanarik,  Lam Research, USA
  • John Langan,  Air Products / Versum Materials
  • Anatoly Malygin,  St Petersburg State Technological Institute
  • Fred Roozeboom, TU Eindhoven, The Netherlands
  • Lars Samuelson, Lund University
  • Massimo Tallarida,  Cells Alba, Spain
  • Stephan Wege, Plasway GmbH, Germany

The Convention Centre Dublin was developed to provide a world-class conference venue in the heart of Ireland’s capital city. Located just 20 minutes from the airport in Dublin’s Docklands, the finance and technology hub of the city, The CCD is ideally positioned to entice the international business tourism market. (See more at: http://www.theccd.ie/about-us#sthash.dV7v11eX.dpuf)
  Further speakers, profiles and the conference programme will be published soon.  Please check back for information.

http://ald2016.com/programme/


Tuesday, February 9, 2016

Update on Beneq Spatial ALD

Here is yet another update from Beneq on their new Spatial ALD technology: In November last year, we shared the first news about our new spatial ALD equipment that works with moving sheet substrates. We also promised our dear readers more information later about how we would make spatial ALD bigger, faster and more flexible. Now we have been running pilots with different materials for a couple of months, and it is time to keep that promise and share more details.

Foll post can be found here on the Beneq Blog: http://www.beneq.com/blog/201602/size-matters-and-speed-too.html


Sub 20nm DRAM High-k from NaMLab, RWTH, KU Leuven and Samsung

NaMLab in Dresden, RWTH Aachen,Germany, KU Leuven, Belgium and Samsung has quite successfully since some years been collaborating on further high-k development for sub 20 nm DRAM. The research is lead by Uwe Schröder (ex-Qimonda High-k Principal) and Kyhyo Cho from Samsung. Here is a recent paper on how to push the ZrO2 based high-k further to even lower CET and leakage performance by introducing SrO inter layer high-k. Please enjoy this open source publication - abstract is given below.

Instead of STO based high-k that is physically too thick to fit in a sub 20nm DRAM cell, two different new approaches to develop a new ZrO based DRAM capacitor stack are presented:

1) by changing the inter-layer material from AlO to SrO 
2) the exchange of the top electrode material from TiN to Pt 

Low leakage ZrO based capacitors for sub 20 nm dynamic random access memory technology nodes

Milan Pešić, Steve Knebel, Maximilian Geyer, Sebastian Schmelzer, Ulrich Böttger, Nadiia Kolomiiets, Valeri V. Afanas'ev, Kyuho Cho, Changhwa Jung, Jaewan Chang, Hanjin Lim, Thomas Mikolajick and Uwe Schroeder
J. Appl. Phys. 119, 064101 (2016); http://dx.doi.org/10.1063/1.4941537
 
 
 

During dynamic random access memory (DRAM) capacitor scaling, a lot of effort was put searching for new material stacks to overcome the scaling limitations of the current material stack, such as leakage and sufficient capacitance. In this study, very promising results for a SrTiO based capacitor with a record low capacitance equivalent thickness value of 0.2 nm at target leakage current are presented. Due to the material properties of SrTiO films (high vacancy concentration and low band gap), which are leading to an increased leakage current, a physical thickness of at least 8 nm is required at target leakage specifications. However, this physical thickness would not fit into an 18 nm DRAM structure. Therefore, two different new approaches to develop a new ZrO based DRAM capacitor stack by changing the inter-layer material from AlO to SrO and the exchange of the top electrode material from TiN to Pt are presented. A combination of these two approaches leads to a capacitance equivalent thickness value of 0.47 nm. Most importantly, the physical thickness of <5 nm for the dielectric stack is in accordance with the target specifications. Detailed evaluation of the leakage current characteristics leads to a capacitor model which allows the prediction of the electrical behavior with thickness scaling.

Monday, February 8, 2016

Cubic High-k HfO2 by ALD on high mobility Germanium channels

High mobility Germanium is one of the most promising channel materials for future Logic, perhaps even at 7nm. Here is an open source paper (see abstract below) on using TEMAHf/H2O process for growing high symmetry cubic HfO2 on high mobility Germanium channel. It´s a joint work by University of Tokyo, Japan, and Zhejiang University, China. Until now all silicon based channel gate dielectrics are typically performed by using the HfCl4/H2O process. However, now moving too alternate high mobility channel materials like Germanium, InGaAsand other III/Vs it seem that the gate stack people will revisit the MO-precursors again - interesting!

 
The Takagi-Takenaka group researches the post-scaling semiconductor devices for low-power LSI and on-chip optical interconnection for: Ge/III-V MOSFETs, Tunnel FETs, Si photonics, III-V CMOS photonics, Graphene photonics and 2D material electronics (from Takagi and Takenaka Group)

For those of you interested in additional information from the Takagi and Takenaka Group check out there excellent web pages here: http://www.mosfet.k.u-tokyo.ac.jp/index-e.html

Low temperature formation of higher- cubic phase HfO by atomic layer deposition on GeO/Ge structures fabricated by thermal oxidation  

R. Zhang, P.-C. Huang, N. Taoka, M. Yokoyama, M. Takenaka and S. Takagi
Appl. Phys. Lett. 108, 052903 (2016); http://dx.doi.org/10.1063/1.4941538

We have demonstrated a low temperature formation (300 °C) of higher- HfO using atomic layer deposition(ALD) on an thermal oxidation GeO interfacial layer. It is found that the cubic phase is dominant in the HfOfilm with an epitaxial-like growth behavior. The maximum permittivity of 42 is obtained for an ALD HfOfilm on a 1-nm-thick GeO form by the thermal oxidation. It is suggested from physical analyses that the crystallization of cubic phase HfO can be induced by the formation of six-fold crystalline GeOstructures in the underlying GeO interfacial layer.

Saturday, February 6, 2016

Carbon nanospheres with highly monodispersed & conformal metal coating of carbon nanoparticles

Here is a very interesting paper on conformal coatings of various metal coated carbon nano particles not using ALD - so you should all be aware of this competition! The paper from Australian researchers has a Creative Commons open source and is given below. Thre paths for conformal coatings are reported and visualized in the the overview below.

A synthetic strategy for carbon nanospheres impregnated with highly monodispersed metal nanoparticles

Tianyu Yang, Huajuan Ling, Jean-Francois Lamonier, Mietek Jaroniec, Jun Huang et al.
NPG Asia Materials (2016) 8, e240; doi:10.1038/am.2015.145, licensed under under a Creative Commons CC-BY license





Schematic illustration of three general routes for the formation of various types of nanospheres using aminophenol–formaldehyde (APF) resin (Source: NPG Asia Materials (2016) 8, e240; doi:10.1038/am.2015.145, licensed under under a Creative Commons CC-BY license)

Friday, February 5, 2016

UPDATE: Novel High-k Application Workshop 2016

If you haven´t booked a trip to Dresden Germany yet you should think about doing so now! This is foor you who are interested in Novel High-k devices & applications, Memory devices including RRAM & Ferroelectric memory, Solar cells, CVD, ALD, PVD and Atomic Layer Etching.

Check out the updated Agenda here - quite a line up for this years Novel High-k materials Workshop organized by Uwe Schröder at NaMLab. Inveted talks by :

  • Paul Hurley, Tyndall National Institute, Cork IE
  • Elke Erben, Globalfoundries, Fab1, Dresden, GER
  • Jan van Houdt, Imec, Leuven, BE
  • M.H. Park,Seoul National University, Seoul, KR
  • E. Jalaguier, CEA-LETI, Grenoble, F
  • Fred Roozeboom, TU Eindhoven/TNO, Eindhoven NL
  • Riikka Puurunen, VTT, Helsinki, FIN
  • Dmitry Suyatin, Lund Nano Lab, Lund, SE
  • Harm Knoops, Oxford Instruments, Eindhoven, NL
  • and many more!

Agenda:

http://www.namlab.com/news/high-k-application-workshop-agenda?lang=en


Workshop Dinner Brauhaus am Waldschlösschen sponsored by Oxford Instruments, Pegasus, and FAB Support



Sponsors & Exhibiton:


COST | European Cooperation in Science and Technologyhttp://www.european-ald.net/

 http://www.oxford-instruments.com/

http://pegasuschemicals.com/  

 Strem Chemicals, Inc.http://www.strem.com/

Co-organized by: