Monday, November 30, 2015

Report on flexible barriers including ALD Technologies and reviewing three ALD companies

Here is a new report on flexible barriers including ALD Technologies and reviewing three ALD companies:
  • Lotus - Spatial ALD
  • Beneq - Batch ALD
  • Encapsulix - Fast ALD

Barrier Layers for Flexible Electronics 2016-2026: Technologies, Markets, Forecasts Encapsulation films, in-line deposition, ALD and flexible glass




Barrier layer market forecasts in US$ million


"A large opportunity lies in the development of devices in a flexible form factor that can operate without deterioration in performance, allowing them to be more robust, lightweight and versatile in their use. In order for flexible displays and photovoltaics to be commercially successful, they must be robust enough to survive for the necessary time and conditions required of the device. This condition has been a limitation of many flexible, organic or printable electronics. This highlights the fact that beyond flexibility, printability and functionality, one of the most important requirements is encapsulation as many of the materials used in printed or organic electronic displays are chemically sensitive, and will react with many environmental components such as oxygen and moisture. These materials can be protected using substrates and barriers such as glass and metal, but this results in a rigid device and does not satisfy the applications demanding flexible devices. Plastic substrates and transparent flexible encapsulation barriers can be used, but these offer little protection to oxygen and water, resulting in the devices rapidly degrading. In order to achieve device lifetimes of tens of thousands of hours, water vapor transmission rates (WVTR) must be 10-6 g/m2/day, and oxygen transmission rates (OTR) must be < 10-3 cm3/m2/day. For Organic Photovoltaics, the required WVTR is not as stringent as OLEDs require but is still very high at a level of 10-5 g/m2/day. These transmission rates are several orders of magnitude smaller than what is possible using any conventional plastic substrate, and they can also be several orders of magnitude smaller than what can be measured using common equipment designed for this purpose."


Barrier layer market forecasts in US$ million

The ALD Boom - ASM International is buying back 100 million EUR in shares

Not only is the Plasma ALD publications in 2015 booming, as reported yesterday, but also the PEALD business. ASM International, the leader in ALD & PEALD Equipment today announced a €100 million share buyback, which is a indication that they have some extra cash.



Press release: ASM International N.V. (Euronext Amsterdam: ASM) today reports commencement and details of share buyback program.


ASM stock since 2007.

On October 28, 2015 ASM International N.V. ("ASMI") announced a €100 million share buyback to be executed within the 2015-2016 timeframe. As part of this program, ASMI will purchase shares which it intends to cancel upon repurchase. In addition ASMI will purchase, as part of this program, shares to cover employee stock and stock option plans.


Market estimation  for ALD excluding Large Batch ALD (supplied by e.g. Tokyo Electron, Kokusai, ASM), which is typically reported as LPCVD.

The buyback will be realized through a program executed by intermediaries through on-exchange purchases or through off-exchange trades and will end on completion of the program, but ultimately on November 20, 2016.

On May 21, 2015 the General Meeting of Shareholders authorized ASMI to repurchase common shares for a period of 18 months up to a maximum of 10% of the issued capital at a price at least equal to the shares' nominal value and at most equal to 110% of the share's average closing price according to the listing on the NYSE Euronext Amsterdam stock exchange during the five trading days preceding the purchase date. The buyback program will be executed in accordance with the conditions of this mandate given by the General Meeting of Shareholders.

The maximum number of shares to be repurchased on any given day will not exceed 25% of the average daily trading volume on the regulated market on which purchases are made, calculated over the last 20 trading days before the date of repurchase. The program does not include the repurchase of ASMI's New York Registry Share program.

The repurchase program is part of ASMI's commitment to use excess cash for the benefit of its shareholders. 

ASMI will update the markets on the progress of the buyback program on a weekly basis. This information can be found on the ASMI website (www.asm.com).

Sunday, November 29, 2015

Plasma ALD growth seen in 2015

According to the PEALD Database administrated by The Plasma ALD guy 2015 has seen a tremendous growth in PEALD Pulblications as compared to 2014. It will be interesting to see the break down to materials and equipment once 2015 comes to an end. check it out : plasma-ald.com

105% PEALD Publication Growth

"Through the first nine months of 2015, I have collected 172 PEALD publications. Compared to the 84 for this same time period in 2014, this represents a 105% growth. Exciting news for the PEALD community. Now I need to find the time to review them all and get them into the plasma ALD Publication Database."


ALD Iridium used to fabricate Ultra-high Resolution Fresnel Zone Plates

According to US Department of Energy, Zone-plate microscopes play a crucial role in various critical science areas such as energy storage, catalysis, photovoltaics, energy conversion, and unconventional oil recovery.
  • Current microscopes are limited to resolutions of 15-20 nm in the soft X-ray range and 50-70 nm in the hard X-ray range. 
  • Pushing resolutions to the 5-10 nm range will have dramatic new impacts on science and technology.

Similar to the double pattering technique used today in the semiconductor industry, scientists at Paul Scherrer Institut in Switzerland employ ALD Iridium  in high aspect ratio structures to increase the resolution of Fresenel Zone Plates beyond the limit of e-beam lithography.


FIB cross section of a line doubled iridium zone plate (Figure from Paul Scherrer Institut)

"To further increase the resolution of Fresnel zone plates beyond the limits of electron-beam lithography, we have developed a novel technique based on the coating of a template structure with a metal layer. The electron-beam written template is coated uniformly with iridium using an atomic layer deposition (ALD) process (see figure 1). As iridium has a much higher x-ray refractive index as the template, we obtain a doubling of the effective zone density and subsequent improvement of the resolution by a factor of two compared to the template structure."

"Reconstruction of the first ALE experiment"

Here is a very interesting story from Riikka Puurunen on the background of creating a cover picture for the CVD Journal (image below) and material for the 40 year celebration of ALD in Finland.


"Reconstruction of the first ALE experiment". © Riikka Puurunen 2014

"November 29, 2014, it was exactly 40 years since the filing of the first patent on Atomic Layer Epitaxy by Suntola and Antson (FIN 52359). To celebrate this, the Finnish Centre of Excellence on Atomic Layer Deposition (ALDCoE) released the material of the exhibition "40 Years of ALD in Finland - Photos, Stories" (FinALD40) in the internet. Originally, this exhibition had been created for the Baltic ALD 2014 conference in Helsinki, May 12-13, 2014."



Saturday, November 28, 2015

»In zehn Jahren wird CMOS so altmodisch wirken wie die Vakuumröhre«

Elektronik.de has a very interesting series on the future of Moores Law - all in German - Enjoy!: 

Vor fünfzig Jahren wagte der Intel-Mitgründer Gordon Moore seine weitreichende Vorhersage, dass die Anzahl der Transistoren auf einem Chip sich in jedem Jahr verdoppeln würde. Auch mit gewissen quantitativen Revisionen wurde diese Vision zum »mooreschen Gesetz«, das die Halbleiterindustrie seither geprägt und ihren Erfolg definiert hat.




IMEC-Fellow kommentieren das mooresche Gesetz - Teil 5 Dr. Jef Poortmans»Auch das Internet of Power profitiert vom mooreschen Gesetz«

Von modernen Halbleiterfertigungstechniken profitieren auch die Hersteller von PV-Zellen. Aber auch der Sensorik-Bereich nutzt neueste Halbleitertechniken – z.B. für autonome Sensoren im Smart Grid, basierend auf dezentraler Einspeisung aus erneuerbaren Quellen. Dr. Poortmans sieht darin einen …  mehrüber „»Auch das Internet of Power profitiert vom mooreschen Gesetz«“

IMEC-Fellow kommentieren das mooresche Gesetz - Teil 4 Prof. Dr. Chris Van Hoof»Auch Sensoren für Wearables profitieren von der Skalierung nach dem mooreschen Gesetz«

Die analoge Halbleitertechnik folgt der digitalen Halbleitertechnik mit Abstand. Prof. Dr. Chris Van Hoof erläutert im vierten Teil der Serie, warum die Analogtechnik vom Miniaturisierungsfortschritt des Gesetzes von Gordon Moore profitiert – wenn auch verzögert – und dass hier das mooresche Gesetz …  mehrüber „»Auch Sensoren für Wearables profitieren von der Skalierung nach dem mooreschen Gesetz«“

IMEC-Fellow kommentieren das mooresche Gesetz - Teil 3 Prof. Dr. Francky Catthoor»Design-Techniken können das Leben ­des mooreschen Gesetzes verlängern«

Im dritten Teil der Serie sieht Prof. Dr. Francky Catthoor die Grenzen der Miniaturisierung nahe. Nur wenn es gelingt, den Entwurfprozess stärker als bisher durch Innovationen weiterzuentwickeln, könne der technische Fortschritt dem Gesetz von Gordon Moore noch für einige Zeit folgen.  mehrüber „»Design-Techniken können das Leben ­des mooreschen Gesetzes verlängern«“

IMEC-Fellows kommentieren das moore'sche Gesetz - Teil 2: Prof. Dr. Guido Groeseneken»Wir müssen den Chips beibringen, Schmerz zu empfinden.«

Im ersten Teil der Serie sagte Prof. Dr. Marc Heyns das Ende von CMOS voraus. Sein Kollege, Prof. Dr. Guido Groeseneken, sieht in der Zuverlässigkeit von Chips die kommende, größte Herausforderung. Applikationen, nicht Chips werden die künftigen Innovationstreiber sein. Die Halbleiterindustrie wird …  mehrüber „»Wir müssen den Chips beibringen, Schmerz zu empfinden.«“

Friday, November 27, 2015

ACHTUNG High-k Samsung supply ALD High-k for Audi

Vorsprung durch Technik - Advancement through technology - finally some ALD high-k will be introduced also for automotive electronics! As reported by Computer Business Review : "Samsung Electronics has become the first semiconductor memory supplier for Audi's Progressive SemiConductor Programme. Samsung will provide 20-nanometer LPDDR4 DRAM and 10-nanometer class eMMC (embedded multimedia card) 5.1 chips to Audi. "

Press release from Samsung : http://news.samsung.com/global/samsung-joins-audis-progressive-semiconductor-program-to-create-the-drive-of-tomorrow






Dr. Kinam Kim, President of Semiconductor Business form the Device Solutions Division of Samsung Electronics, and Ricky Hudi, Executive Vice President Electronic Development of Audi.
President of Samsung Electronics Semiconductor Business Kim Ki-nam (left) signs a contract with Executive Vice President of Audi Ricky Hudi to supply automotive semiconductors on Nov. 23 (local time). - See more at: http://www.businesskorea.co.kr/english/news/industry/13112-progressive-semiconductor-samsung-electronics-supply-automotive-semiconductors#sthash.a68eYl61.dpuf
President of Samsung Electronics Semiconductor Business Kim Ki-nam (left) signs a contract with Executive Vice President of Audi Ricky Hudi to supply automotive semiconductors on Nov. 23 (local time). - See more at: http://www.businesskorea.co.kr/english/news/industry/13112-progressive-semiconductor-samsung-electronics-supply-automotive-semiconductors#sthash.a68eYl61.dpuf

"The chips are expected to be used to power Audi's future infotainment, dashboard and driver assistance applications. Audi presently has an advanced driver assistance system which includes a predictive efficiency assistant, adaptive cruise control, and traffic jam assist. In September, Samsung launched the 12Gb LPDDR4 that has the largest capacity and highest speed available for a DRAM chip. It provides 50% greater density than the existing 8GB chips used in current smartphones, and it is also expected to help smartphones and tablets to have up to 6GB of RAM."


LPDDR4 DRAM from Sasmsung

Audi Electronic Development executive vice president Ricky Hudi said: "Samsung is leading memory technology development with its high-performance, high-density DRAM and NAND flash memory solutions based on the industry's most advanced process technology.


From a Chipworks report abstract that can be bought here, we can see a cross section of the stack capacitor array Samsung is using at 26 nm (see below). For 20 nm I have not been able to find any free available information yet

"Through the PSCP strategic partnership with Samsung, Audi will utilize Samsung's high speed memory products to provide the best user experience to our customers.



I am not an Audi driver but I like this picture (Picture from Audi)




Medical and Aerospace Electronics Powered by Picosun ALD

Picosun Oy, leading supplier of high end Atomic Layer Deposition (ALD) thin film coating solutions for global industries, launches ALD equipment for production of high efficiency 3D-integrated trench capacitors.



Capacitors are core components of every electronic device. As the trend is towards constantly miniaturizing and more and more integrated electronic modules, there is a need to develop completely new, disruptive technologies to outperform the existing solutions - especially in areas where flawless performance, long lifetime, and unfaltering reliability are required, such as in medical, space, and aviation applications. 3D-nanofabricated microelectronic components answer this challenge. In high density and high voltage 3D trench capacitors, deep trench structures coated with ultra-thin high-k oxide and metallic layers enable superior voltage and charge density properties.

Picosun's ALD technology is well-established in production of high quality dielectrics for various microelectronic industry applications. Now, PICOSUN™ batch ALD tools optimized for the novel capacitor technology have been proven to reduce cost-of-ownership and deliver excellent uniformity and step coverage for high-k dielectrics into 3D structures.

"Industrialization of the new capacitor technology requires fast and fully automated batch ALD systems. PICOSUN™ batch ALD toolsspecifically designed for this purpose create an important competitive edge to our company. The ability to provide complete, turn-key solutions for cost-efficient manufacturing of the key components for the most advanced IC devices makes Picosun the choice of leading electronics manufacturers", summarizes Juhana Kostamo, Managing Director of Picosun.

Wednesday, November 25, 2015

BENEQ - The ALD OEM, IDM & Foundry

BENEQ - the ALD Foundry, IDM & OEM. Besides producing displays like an IDM and building ALD Equipment like any OEM, BENEQ is now offering ALD capacity in their factory that has 40 ALD Batch tools for foundry production as a Foundry. This is for sure a very broad and unique business model covering a big portion of the value chain. They call it "Thin as a Service™" and it covers a complete ALD service solution that will provide "a quick and easy way to implement ALD from the first samples to full-blown production".




The service solution consists of two parts - a R&D service that aims to find the customized ALD solution the customer is looking for followed by the industrial production solution that applies the results on the customer’s end products.  The first service part is based on a business process BENEQ calls "ALD-123™" and a development process shown in the diagram above. At the end the customer can choose to buy their own ALD equipment or continue using BENEQ as a foundry.

Read mor of this offering in a recent Blog by BENEQ : http://www.beneq.com/blog/201511/introducing-thin-as-a-service.html

Monday, November 23, 2015

Disruptive atomic level particle free metallic ink products

I was, as usual, searching for any news about ALD and came across this press release from Liquid X. Funny that anything on atomic level can be regarded as disruptive these days - and yes I am proud of the headline, which originally red "ALD - More than 41 years of descriptiveness"



"Liquid X Printed Metals®, Inc. is a technology company headquartered in Pittsburgh, Pennsylvania that manufactures functional metallic inks. Liquid X® inks are considered disruptive in that their technology is on the atomic level. This provides processing advantages and achieves better metal film properties than other metallic inks that feature nanoparticles and metal flakes."

Liquid X Printed Metals® Introduces Particle-free Products

Formulated at the Atomic Level, Two Disruptive Metallic Ink Products are Thin, Robust, Adaptable and Cost-effective


 
PITTSBURGH - Liquid X Printed Metals ®, Inc., (Liquid X®), an advanced material manufacturer of functional metallic inks, today introduced two particle-free inks.  The inks will enable manufacturers in the electronics and other industries to produce thinner, more cost-effective and adaptable electronic components that will drive innovation in consumer electronics, and advanced and medical technology among other industries.

The company's two inaugural products, Liquid X®'s Silver Inkjet Ink and Silver Flexo Ink were developed using an original and proprietary particle-free formulation that leads to more cost-effective and trouble-free processing; more durable traces that withstand bending and flexing; adherence to the full range of substrates; good conductivity; excellent printability; and enhanced sustainability due to its water-based composition.

"Liquid X® is introducing particle-free inks that eliminate problems associated with other metallic inks that are made with less stable nanoparticles or metal flakes, which are thicker and can cause clogging, slowness and other problems in printing," said Greg Babe, President and CEO of Liquid X®.  "Our Silver Inkjet Ink and Silver Flexo Ink leverage atomic-level technology, which represents the kind of powerful, robust and adaptable innovation required to tap the fullest potential of printed electronics."

Liquid X(®)'s molecular inks consist of novel metal complexes that are printed as solutions that are used in the creation of films or traces that exhibit high conductivity, are thin and precise, and allow for low-temperature processing that enables adherence on a wide range of substrates. And the low viscosity of the inks allow for use in printing methods that include inkjet, aerosol jet, flexography, and gravure.

Liquid X(®)'s conductive inks are designed for applications that range from transparent conductors and touchscreens, to photovoltaic, industrial and automotive applications, and smart packaging.

Performance


Silver Inkjet Ink features viscosity at 6-9 cPs; Polar Protic Solvents; thermal, photonic and infrared curing methods; adherence to all substrates; and excellent stability when refrigerated. Film trace sheet resistance for one layer is 0.5 - 1 ohms per square.  Thickness for one layer is 200-300 nm.  Trace width is < 50 micrometers.  (Adhesion, tensile - ASTM D3359, 5B rating).  (Adhesion shear - scrub resistant.)  [Gloss - ASTM D523 ISO 2813, At 60 degrees >70 Gloss Units (high gloss)

Silver Flexo Ink features Flexo-Gravure deposition; viscosity at 110-140 cPs (1-50 RPM); 80-110 (60-100 RPM); ~25 sec #2 Zahn Cup;  Polar Protic Solvents; thermal, photonic and infrared curing methods; adherence to all substrates; and excellent stability at room temperature or when refrigerated. Film trace sheet resistance for one layer is 0.5 - 1 ohms per square.  Thickness is 1-3 microns.  (dependent on anilox).   (Adhesion, tensile - ASTM D3359, 5B rating).  (Adhesion shear - scrub resistant.)  [Gloss - ASTM D523 ISO 2813, At 60 degrees >70 Gloss Units (high gloss)

In development is a product called Silver Stretchable Ink, which is designed for stretchable substrates such as fabrics or elastomers.  Applications for Silver Stretchable Ink include wearables, soft robotics and other customized applications.

About Liquid X

Liquid X Printed Metals®, Inc. is a technology company headquartered Pittsburgh, Pennsylvania that manufactures functional metallic inks. The company's inks are considered disruptive in that their technology is on the atomic level. This provides processing advantages and achieves better metal film properties than other metallic inks that feature nanoparticles and metal flakes which create instabilities. Visit: www.liquid-x.com.

Sunday, November 22, 2015

SK Hynix & SNU demonstrate 28nm RRAM cell with ultra thin ALD Ta/Ta2O5 stack

Here is a impressive report by SK Hynix & Prof. Hwang and co-workers SNU on a RRAM device with Ta/Ta2O5 stacked RS layers with ultra-thin Ta2O5 thicknesses (0.5–2.0 nm) deposited by ALD. Woah that´s thin  - like the same order of thickness like native oxide, which makes me wonder if teh extra couple of ALD cycles was needed --> I need to study the paper more carefully!

Thickness effect of ultra-thin Ta2O5 resistance switching layer in 28 nm-diameter memory cell 

C.S. Hwang et al

Scientific Reports 5, Article number: 15965 (2015)
doi:10.1038/srep15965
(a) Schematic diagram and (b) TEM image of the TiN/Ta2O5/Ta/TaN device. Ta2O5 (0.5 nm) device, and (d) Ta2O5 (1.5 nm) device. Insets show the linear I-V plot. Scanning transmission electron microscopy (STEM) high angle annular dark field (HAADF) images of (c) 0.5 nm-thick device and (d) 2.0nm-thick device. 

Resistance switching (RS) devices with ultra-thin Ta2O5 switching layer (0.5–2.0 nm) with a cell diameter of 28 nm were fabricated. The performance of the devices was tested by voltage-driven current—voltage (I-V) sweep and closed-loop pulse switching (CLPS) tests. A Ta layer was placed beneath the Ta2O5 switching layer to act as an oxygen vacancy reservoir. The device with the smallest Ta2O5 thickness (0.5 nm) showed normal switching properties with gradual change in resistance in I-V sweep or CLPS and high reliability. By contrast, other devices with higher Ta2O5 thickness (1.0–2.0 nm) showed abrupt switching with several abnormal behaviours, degraded resistance distribution, especially in high resistance state, and much lower reliability performance. A single conical or hour-glass shaped double conical conducting filament shape was conceived to explain these behavioural differences that depended on the Ta2O5 switching layer thickness. Loss of oxygen via lateral diffusion to the encapsulating Si3N4/SiO2 layer was suggested as the main degradation mechanism for reliability, and a method to improve reliability was also proposed.


The web page for the joint EuroCVD-BalticALD 2017 in Sweden is up!

The web page for the joint EuroCVD-BalticALD 2017 in Sweden is up!

Check it out here : eurocvd-balticald2017.se


ALD Systems Guide at AZO Nano is updated

ALD Systems Guide at AZO Nano is updated - if you´re planning to invest in some new ALD equipment - check it out!

AZO Nano ALD Guide: http://www.azonano.com/nanotechnology-equipment.aspx?cat=33

 
The collection is not complete but a good start. Interesting is that there is still an offering for Aviza Technology Celsior fxP Single Wafer ALD System that used to run The famous NOLA Process on for 90 and 70 nm Deep Trench DRAM Production at Infineon / Qimonda, Nanya, Inotera, and Winbond. Probably not active anymore.

Friday, November 20, 2015

Guest Blog: ALD Russia 2015 workshop: travel notes by Riikka P...



Below you find my travel report from the ALD Russia workshop in Dolgoprudny, Moscow region, September 2015. Originally, this travel report was published as a stand-along text through Google docs and also through through BALD Engineering blog




After the ALD Russia workshop, this ALD History blog has been created, and one travel report published in it (St. Petersburg, 2015, Puurunen). Since the ALD Russia workshop was historical in nature in many ways, and since it will be wise to collect ALD-history-related travel reports in one place, I want to publish the travel report here again. To this version, I have added a significant number of previously unpublished photographic material. 

Can ALD save Moore´s Law?

Can ALD save Moore´s Law? - I would say it already did at the introduction og HKMG and some other things. Here is a great peace by Mark Lapedus with some insights from the leading extperts of industry and academia and I selected to high light some of them below:

Can Nano-Patterning Save Moore’s Law?

Selective deposition is showing promise in the lab, but it’s a long way from there to production.

For years the academic community has explored a novel technology called selective deposition. Then, more than a year ago, Intel spearheaded an effort to bring the technology from the lab to the fab at 7nm or 5nm. Today, selective deposition is still in R&D, but it is gaining momentum in the industry.


 “In order to make ALD-enabled nano-patterning available in the semiconductor industry, careful ALD precursor and reactant selections are required,” Samsung’s Han Jin Lim said.  



“There are a couple of places where selective deposition has been done in the past. But the applications have been pretty specific, where we have gotten our arms around the defectivity issues,” said Dave Hemker, senior vice president and chief technology officer at Lam Research. “But anytime you go selective and deposition, you’ve have to make sure the defectivity and other issues are solved. As we learn more about it, we’ll see more applications coming out of it.”



“With SAM, it might be possible to do selective-area ALD or CVD by area-deactivation,” said Erwin Kessels, a professor at the Eindhoven University of Technology. “But this only provides a solution in cases where the substrate is already patterned. Selective deposition by area-deactivation doesn’t really help you in most cases when you really want to generate patterns from the bottom-up, which is the ultimate aim. Yet, it would still be helpful to reduce the number of litho steps.”

Continue reading : http://semiengineering.com/can-nano-patterning-save-moores-law/ 

Liquid ALD by Self-terminated electrodeposition of iridium electrocatalysts

Here is an interesting report on liquid ALD from NIST covered by Nanowerk News: "Remember that pair of gold electroplated earrings you bought years ago at the mall? Key to crafting their allure was the ability to place an ever-so-thin layer of valuable metal atop a less costly base material. This same strategy will be central to building the “engines” of future hydrogen-powered cars, and scientists at the National Institute of Standards and Technology (NIST) have developed a way to do it more effectively with metals rarer than gold ("Self-terminated electrodeposition of iridium electrocatalysts")."


Gray center section shows individual atomic layers of iridium NIST scientists deposited, one layer at a time, atop a base of gold, with the boundary between the two metals clarified by the green/red image at right. A top view is shown at left in gold. The deposition technique, which also works with other important metals, could produce economical catalysts for hydrogen fuel cells and water electrolysis. (Picture and text from Nanowerk)

Self-terminated electrodeposition of iridium electrocatalysts


Sang Hyun Ahn,   Haiyan Tan,   Mareike Haensch,   Yihua Liu,   Leonid A. Bendersky and   Thomas P. Moffat.
Energy Environ. Sci., 2015, Advance Article
DOI: 10.1039/C5EE02541A

A simple electrochemical process for submonolayer deposition of ultrathin catalytic Ir films is demonstrated. This method enables effective utilization of one of nature's rarest elements while different substrates facilitate the exploration of promising bimetallic catalysts for a sustainable hydrogen economy. Semi-coherent Ir films were deposited on Au, Pt and Ni substrates using K3IrCl6–Na2SO4–H2SO4 electrolytes operated between 40 °C and 70 °C. However, the deposition reaction is quenched at the onset of H2 production where adsorbed H blocks the reduction of IrCl6−xH2Oxx−3 to Ir. The electrode can be reactivated for further deposition by pulsing the potential to more positive values where adsorbed H is oxidized. The electrocatalytic activity of ultrathin Ir and Pt films, and combinations thereof, were examined as function of the number of self-terminating deposition pulses. The ultrathin films match or exceed the best reported activity metrics for hydrogen oxidation in alkaline media and oxygen evolution in acid.

Wednesday, November 18, 2015

Graphene - ALD bendable Supercaps by Nanyang Technological University and Partners

Nanowerk had an interesting post today on how Nanyang Technological University and Partners in Singapore and China are using graphene and ALD Metal Nitrides to fabricate bendable solid-state asymmetric super capacitors. Check out the processing to achieve the metal nitrides below - not the usual way but via the oxides and solution based chemistry! I assume that graphene is a tricky material to grow metal nitrides on


Illustration of the asymmetric supercapacitor, consisting of vertically aligned graphene nanosheets coated with iron nitride and titanium nitride as the anode and cathode, respectively. (as published in Nanowerk, ©WILEY-VCH Verlag)


"To get the maximum benefit from the graphene surface, the team used a precise method for creating thin-films, a process known as atomic layer deposition, to grow two different materials on vertically aligned graphene nanosheets: titanium nitride for their supercapacitor’s cathode and iron nitride for the anode." 



Tracking back to the original publication in Advanced Materials "All Metal Nitrides Solid-State Asymmetric Supercapacitors" DOI: 10.1002/adma.201501838 there are some more details available in the free to download supporting information from the authors where it is reviled that a BENEQ TFS 200 ALD reactor was used for the cathode and anode. Here´s the link and some details are given below:

A BENEQ TFS 200 that come in many sorts and flavours (www.beneq.com)

Electrode Material Synthesis

Preparation of TiN@GNS Cathode: All chemicals were bought from Sigma Aldrich and used without further purification. Graphene nanosheets (467 m2 g-1) were provided by INCUBATION ALLIANCE, INC. The cathode fabrication process is mainly composed of two steps: TiO2 deposition by atomic layer deposition (ALD) and transferring to nitride through annealing in ammonia (NH3) atmosphere. Before ALD, the GNS substrates were treated with oxygen plasma at 200 W for 10 minutes with an O2 gas flow of 100 sccm, 70 mTorr. In a typical ALD (Beneq TFS 200) process, 120 °C was applied to the GNS substrate with TiCl4 and water as the titanium and oxygen source, respectively. 166 cycles (~ 1.2 Å per cycle) deposition was conducted to obtain 20 nm TiO2 coating during which the reaction chamber was maintained with a steady N2 steam at 300 sccm (cubic centimeter per minute) at 1.0 mbar. The sample of TiO2@GNS was then annealed in NH3 atmosphere at 800 °C for 1 h with a gas flow of 50 sccm and heating rate of 20 °C per minute. The control sample of TiO2@GNS was synthesized with the same ALD process. 

Preparation of Fe2N@GNS Anode: 20 nm ZnO was deposited on GNS (oxygen plasma pretreated) with ALD at 200 °C. The ZnO@GNS sample was then immersed in 0.5 M Fe(NO3)3 solution for 2 h to have a thorough transformation from ZnO to FeOOH as reported by the previous work[1]. The FeOOH@GNS sample was then annealed with the same NH3 atmosphere situation at a lower temperature of 600 °C. The control sample of FeOOH@GNS was fabricated by the same method just without the afterward annealing.

Also Available in the supporting information linked above is a quite impressive results from a bending test odf a charged super capacitor (see figure below)


Capacitance retention of the full device at different bending conditions. "All Metal Nitrides Solid-State Asymmetric Supercapacitors" DOI: 10.1002/adma.201501838 (©WILEY-VCH Verlag).

Imec on taking 2D materials from lab to fab, and to technology

I just found this interesting article in Solid State Technology (abstract below) and realized again that 2D materials is actually steaming ahead and wafer level processing is happening today. Maybe 2D materials are to some extent even more promising than III/V channel integration on silicon wafers through horizontal or vertical Nanowires. One huge advantage as I see it is the lower thermal budget required for 2D Material growth or transfer processes which opens up huge possibilities for 3D stacking and continued scaling similar to what is happening for 3DNAND today.

Taking 2D materials from lab to fab, and to technology [Solid State Technology]

Due to their exciting properties, 2D crystals like graphene and transition metal dichalcogenides promise to become the material of the future.

BY STEFAN DE GENDT, CEDRIC HUYGHEBAERT, IULIANA RADU and AARON THEAN, imec, Leuven, Belgium.


The Technology roadmap as presented recently by Imec at the EWMOVPE workshop in Lund, Sweden, showing 2D materials as an option for beyond the 5 nm node.

As we enter into the era of functional scaling where the cross-roads of More-Moore and More-Than-Moore meet, the search for new devices and their enabling material comes to the forefront of technology research. 2D crystals provide very interesting form-factors with respect to traditional 3D crystals (bulk, Si, and III-V semiconductors). In this elegant 2D form, electronic structure, mechanical flexibility, defect formation, and electronic and optical sensitivity become dramatically different. Aaron Thean: “As researchers at imec explore the physics and applications of such material, it is now becoming important to find a wafer-scale path towards technology implementation and integration of these novel materials.” Working closely with research teams across universities and industry partners, the first important step for imec is to enable the flake-to-wafer transition, while concurrently exploring the material, and device-to-circuit applications. The work will build new infrastructure (e.g. epitaxy, metrology, patterning, and electrical characterizations, etc.) around it.

Continue reading in Solid State Technology

Interesting here is that imec is working on wafer level growth of MX2 materials "by a direct sulfurization process or by atomic layer deposition in the 200 and 300mm imec fabs.” This is not the first time that imec makes presentations in this area. I myself visited two events this year where imec presented work in this field - EWMOVPE workshop, Lund Sweden (above) and SEMICON Europa in Dresden (below).


Monolayer controlled deposition of 2D transition metal dichalcogenides on large area substrates, Presented by Annelies Delabie, Imec at SEMICON Europa 6th of October 2015, in Dresden.

I also visited SEMICON Europa 2014 where imec hosted a session on 2D Materials : http://www.semiconeuropa.org/node/2786 which was very interesting. However, there are probably some years of development ahead as ITRS has 5 nm introduction in the year 2020 or 2021 ready for mass production and that node will as we know it today not employ 2D materials.

Tuesday, November 17, 2015

CVD Equipment Corporation Revenue Rose 20.1% to Record-breaking Levels

It is soon Christmas so I think we ALD guys can be nice to the CVD guys. Some brief news from the world of CVD: CVD Equipment Corporation announced that it has achieved record-breaking revenue for both the three and nine month periods ended September 30, 2015. CVD’s revenue rose 20.1% to a record-breaking level of $10.6 million for the current quarter ending September 30, 2015 compared to $8.9 million in revenue for the quarter ended September 30, 2014. For the current nine month period, CVD’s revenue rose 59.0% to a record-breaking $30.8 million compared to $19.4 million in revenue for the nine month period ended September 30, 2014.  CVD also reported net earnings of $0.8 million or $0.13 per basic and diluted share and $2.8 million or $0.46 per share basic and $0.45 per share diluted for those respective periods compared to earnings of $0.9 million or $0.14 per share basic and diluted and $0.8 million or $0.13 per share basic and diluted for the three and nine months ended September 30, 2014



Full report: http://www.cvdequipment.com/2015/11/16/cvd-revenue-rose-20-1-to-record-breaking-levels/

Joiking aside,  CVD Equipment Corporation actually have an ALD Product offering. I do not know too much about this and here is the generic information that is available through their web:

Atomic layer deposition (ALD) is a thin film deposition process that allows for atomic layer thickness resolution, excellent conformity of high aspect ratio surfaces, and pinhole-free layers. This is achieved by sequential formation of atomic layers in a self-limiting reaction.


ALD is commonly used in the semiconductor industry for high-k dielectric films in CMOS processing, memory devices, MEMS, and sensors. The atomic layer deposition system is used for development of protective / functional coatings in fuel cells and other applications for corrosion / wear resistance. It can also be used to coat high aspect ratio structures like nanowires and nanotubes for next generation device development.

Oxides: Al2O3, TiO2, SnO, HfO2, ZnO, Fe2O3
Nitrides: TiN, TaN, WN
Metals: Cu, Ru, Ir, W and more.

Monday, November 16, 2015

BENEQ launches fast large area Spatial ALD

Following some of the other ALD companies (e.g. Veeco, SoLayTec, Levitech, Lotus, ALD NanoSolutions) BENEQ announced last Friday on their new Blog the coming of new spatial ALD equipment that is now going in to piloting. They will be sharing more information about the pilot runs with their new spatial ALD equipment later in through their blog : http://www.beneq.com/blog/201511/fast-large-area-spatial-ald-here.html



"The result is a revolutionary spatial ALD solution that takes the whole concept of continuous ALD to the next level, with processing scale and throughputs that have not been possible before. The ALD process now is like an automated car-wash, where the substrates move on a production line and each phase of the treatment is applied on the move. Based on the first results, it looks like we will completely redefine what high speed in ALD manufacturing means."

BENEQ Blog - A billion seconds - Stories from the home of ALD

Existing news and defenitely something forother ALD companies to follow - BENEQ Blog - A billion seconds - Stories from the home of ALD! This summer I had a chance to visit BENEQ and I was very much surpised by the size of their factory in Espoo, Finland - it is huge and it is all about ALD!



When you visit our factory in Espoo and enter the clean room area where we keep our 40 ALD machines, one thing you will notice is the constant ticking sound from the conventional batch ALD equipment. Those are the pulses of precursor gases in the equipment chambers ticking. Tick. Tick. Tick.

Combined with the humming background noise of the ventilation system and the vacuum pumps, it is a rather relaxing sound. It is one of the very characteristics that define the atmosphere of the factory floor.


 

ALD History Blog: Travel notes, St Petersburg, November 2015, by Rii...

ALD History Blog: Travel notes, St Petersburg, November 2015, by Rii...: I had the pleasure of visiting St. Petersburg in November 2015. This was my second visit to St. Petersburg related to ALD; the first was in ...

Prof. Drozd and his current design of thin film ALD reactor, at St Petersburg State University, made with the Nanoengineering brand. Photo by Riikka Puurunen, 11.11.2015.

Wednesday, November 11, 2015

Prof. Erwin Kessels TU Eindoven is appointed Associate Editor of the JVSTA

Professor W.M.M. (Erwin) Kessels of Eindhoven University of Technology Department of Applied Physics is appointed as an Associate Editor of the Journal of Vacuum Science & Technology(JVSTA). JVSTA has become a very important journal for ALD since it runs a special ALD issue after each International ALD conference. Here is a link to the upcoming issue : http://scitation.aip.org/upload/AVS/JVA/JVST_Special_Issue.pdf
 
 
Congratulations Erwin!

Biography

Erwin Kessels is a full professor at the Department of Applied Physics of the Eindhoven University of Technology TU/e (The Netherlands). He is also the scientific director of the NanoLab@TU/e facilities which provides open-access clean room infrastructure for R&D in nanotechnology. Erwin received his M.Sc. and Ph.D. degree (with highest honors) in Applied Physics from the TU/e in 1996 and 2000, respectively. His doctoral thesis work was partly carried out at the University of California Santa Barbara and as a postdoc he was affiliated to the Colorado State University and Philipps University in Marburg (Germany). In 2007 the American Vacuum Society awarded him the Peter Mark Memorial Award for "pioneering work in the application and development of in situ plasma and surface diagnostics to achieve a molecular understanding of thin film growth". From the Netherlands Organization of Scientific Research, he received a grant in 2010 to set up a large research program on "nanomanufacturing" in order to bridge the gap between nanoscience/nanotechnology and industrial application. His research interests cover the field of synthesis of ultrathin films and nanostructures using methods such as (plasma-enhanced) chemical vapor deposition (CVD) and atomic layer deposition (ALD) for a wide variety of applications, mostly within nanoelectronics and photovoltaics. Within the field of ALD, he has contributed to the field most prominently by his work on plasma-assisted ALD and his research related to ALD for photovoltaics. Erwinchaired the International Conference on Atomic Layer Deposition in 2008 and he has published over 200 papers and holds 2 patents.

Tuesday, November 10, 2015

Photo show: Workshop Simulation of chemistry-driven growth phenomena for metastable materials

CECAM/Psi-k/HERALD Workshop
Simulation of chemistry-driven growth phenomena for metastable materials


The controlled growth of thin films based on metastable materials by chemistry-driven processes is of high technological importance for topics like semiconductor devices or optical coatings. Computational modelling of this inherently multiscale process is crucial for an atomistic understanding and enables a decoupling and separate optimization of the growth-determining factors of non-equilibrium materials. This workshop will result in a joint effort by experts from different modelling communities covering the necessary length and time scales.

nearby Marburg in Germany from November 08-11, 2015.
 

Organizers

CECAMPsi-kHERALD
 

Photo Show


Awesome talk by Erwin Kessels on the ALD insight available by sum-frequency generation (Twitter, Henrik Pedersen)


Very good talk by @Simon_D_Elliott  pointing out the danger of using too simplified models for ALD (Twitter, Henrik Pedersen)

 
The Twitter master himself: Henrik Pedersen alias @hacp81 giving an invited on CVD (Twittter, Erwin Kessels)

 Henrik Pedersen annoncing EuroCVD and Baltic ALD in Linköping, Sweden, 2017

 
Very nice talk on in situ analysis in ALD by Mikko Ritala (Twitter, Henrik Pedersen)

 
Mahdi Shirazi discuss cooperative effects in ALD
(Twitter, Henrik Pedersen)