Wednesday, September 30, 2015

The fundamentals of CVD of boron-carbon thin films


Here is a great publication on the fundamentals of CVD of boron-carbon thin films from Henrik Pedersen and co-wokers at Linköping University, Sweden and Philipps-Universität Marburg. Such freedom they have, with respect to temperature... but then again it is rather cold in Sweden.

Gas phase chemical vapor deposition chemistry of triethylboron probed by boron–carbon thin film deposition and quantum chemical calculations

Mewlude Imam, Konstantin Gaul, Andreas Stegmüller, Carina Höglund, Jens Jensen, Lars Hultman, Jens Birch, Ralf Tonner and Henrik Pedersen

J. Mater. Chem. C, 2015, Advance Article
DOI: 10.1039/C5TC02293B

We present triethylboron (TEB) as a single-source precursor for chemical vapor deposition (CVD) of BxC thin films and study its gas phase chemistry under CVD conditions by quantum chemical calculations. A comprehensive thermochemical catalogue for the species of the gas phase chemistry of TEB is examined and found to be dominated by β-hydride eliminations of C2H4 to yield BH3. A complementary bimolecular reaction path based on H2 assisted C2H6 elimination to BH3 is also significant at lower temperatures in the presence of hydrogen. Furthermore, we find a temperature window of 600–1000 °C for the deposition of X-ray amorphous BxC films with 2.5 ≤ x ≤ 4.5 from TEB. Films grown at temperatures below 600 °C contain high amounts of H, while temperatures above 1000 °C result in C-rich films. The film density and hardness are determined to be in the range of 2.40–2.65 g cm−3 and 29–39 GPa, respectively, within the determined temperature window.

Ruthenium may take over from Copper for advanced Interconencts according to Imec

Here is an interesting report by Jeff Dorsch from SEMI’s annual Strategic Materials Conference, that was held September 22-23, at the Computer History Museum in Mountain View, California.

One of the most interesting things i find i that ruthenium is back on the table, at least according to Imecs Christoph Adelmann who presented that ruthenium my take over from copper in advanced interconnects one day. This is not the first time ruthenium is announced entering into semiconductor manufacturing. Many ruthenium R&D programs has started and eneded many times the last decade at IDMs, OEMs and materials suppliers especially at DRAM manufacturers looking to integrate SrTiO3 super high-k using ruthenium based electrodes in the capacitor module. So it is time again to have a look at the Ruthenium price development at Johnson Matthey.

Today the ruthenium price is actually as low (~150$/Oz.) as is was the first time I got involved in ruthenium ALD/CVD development in 2003 at Infineon. It will be very interesting to follow ruthenium this time  and if it would actually enter BEOL metallization the price should go up considerably since it does come again many times an an advance interconnect chip 12-16 depending on the design but not all layers may have to contain ruthenium so the upper layer will stay copper for a long time to come. Anotehr driver for sure is if ruthenium were to be used in 3D-stacing TSV technology - Maybe a good timing now to invest in some ruthenium!


Pt & Ru price chart generated at http://www.platinum.matthey.com/prices



Platinum, RutheniumMonthly Average prices between 30 Sep 2000 and 30 Sep 2015JM Base Price $/0zPlatinum average: $1,165.38, Ruthenium average: $150.59 - See more at: http://www.platinum.matthey.com/prices/price-charts#sthash.GMP3wwcI.dpuf


Platinum, RutheniumMonthly Average prices between 30 Sep 2000 and 30 Sep 2015JM Base Price $/0zPlatinum average: $1,165.38, Ruthenium average: $150.59 - See more at: http://www.platinum.matthey.com/prices/price-charts#sthash.GMP3wwcI.dpuf
Platinum, RutheniumMonthly Average prices between 30 Sep 2000 and 30 Sep 2015JM Base Price $/0zPlatinum average: $1,165.38, Ruthenium average: $150.59 - See more at: http://www.platinum.matthey.com/prices/price-charts#sthash.GMP3wwcI.dpuf

The agenda for the ALD Lab Dresden Symposium at SEMICON Europa is now set!

The agenda for the ALD Lab Dresden Symposium is now set! If you are attending and representing a company or any organization with an offering in ALD or ALE - please brig alog your flyers, coffee mugs, hats and pensand I will sort out a table that is bug enought for displaying it.







AGENDA


 Tuesday, 6 October 2015

 09:00WelcomeOrganized by:



Supported by:

cost logo



  

Prof. Johann W. Bartha, TU Dresden

 09:15In situ monitoring of Atomic Layer Deposition in porous materials

Martin Knaut, TU Dresden

 09:40Passivation of MEMS by Atomic Layer Deposition

Matthias Schwille, Robert Bosch

 10:05Growth Monitoring by XPS and LEIS Investigations of Ultrathin Copper Films Deposited by Atomic Layer Deposition

Dileep Dhakal, TU Chemnitz/FhG ENAS

 10:30High-k dielectrics by ALD for BEOL compatible MIM

Wenke Weinreich, FhG IPMS-CNT


 10:55ALD coatings for applications as permeation barrier and protective layer in fiber-reinforced materials
Mario Krug, FhG IKTS


 11:20ALD for solar cell application
Ingo Dirnstorfer, NaMLab


 11:45Plasma enhanced ALD process for TiO2- and WO3- films

Alexander Strobel, FH Zwickau


 12:10Lunch Break (Conversation, Networking, Finger food)


 13:00Why do we need Atomic Layer Etching

Jonas Sundqvist, Lund University/TU Dresden


 13:25Spatial Atomic Layer Deposition and Atomic Layer Etching

Prof. Fred Roozeboom, TU Eindhoven/ TNO Eindhoven


 13:50Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?

Harm Knoops, Oxford Instruments/TU Eindhoven


 14:15Hardmask and side wall protection during dry etching with plasma enhanced deposition during dry etching for ALE purposes

Stephan Wege, Plasway


 14:40Industrial High Throughput Atomic Layer Deposition Equipment and Process for OLED Encapsulation

Jacques Kools, Encapsulix


 15:05Closing Remarks / Wrap Up

Prof. Johann W. Bartha, TU Dresden


 15:10End

Tuesday, September 29, 2015

ALD at The 228th ECS Meeting October 11-15, 2015 in Phoenix AZ

Here are some interesting sessions at the upcoming The 228th ECS Meeting October 11-15, 2015 in Phoenix Arizona. Looking forward to hear about the outcome of the panel discussion on ALE!




G01 Atomic Layer Deposition Applications 11

Lead Organizer: F. Roozeboom (Eindhoven University of Technology)
Co-organizers: Jeffrey W Elam (Argonne National Laboratory) , A. Londergan (Qualcomm Technologies, Inc.) , O. van der Straten (IBM Research) , Annelies Delabie (imec) and Stefan De Gendt (imec vzw)

Tuesday, October 13, 2015

08:30-10:00

Energy Applications I
Phoenix East
Chair(s): Jeffrey W Elam and Fred Roozeboom

10:00-12:20

Energy Applications II
Phoenix East
Chair(s): Jeffrey W Elam and Neil P. Dasgupta

14:00-16:40

Textile and Related Coatings
Phoenix East
Chair(s): J. W. Elam and Helmut Baumgart

16:40-17:20

Characterization
Phoenix East
Chair(s): Stefan De Gendt and Jesse S Jur

Wednesday, October 14, 2015

09:00-10:00

New Materials and Processes I
Phoenix East
Chair(s): Stefan De Gendt and Paul Raymond Chalker

10:00-12:20

New Materials and Processes II
Phoenix East
Chair(s): O. van der Straten and Stefan De Gendt

14:00-15:40

Metal Deposition and Applications I
Phoenix East
Chair(s): O. van der Straten and Sean Barry

15:40-16:40

Metal Deposition and Applications II
Phoenix East
Chair(s): O. van der Straten and Soo-Hyun Kim

18:00-20:00

G01 Poster Session
West Hall 1
Chair(s): Fred Roozeboom

Thursday, October 15, 2015

08:00-10:00

Atomic Layer Etching I
Phoenix East
Chair(s): Fred Roozeboom and Thorsten Lill

10:00-11:40

Atomic Layer Etching II
Phoenix East
Chair(s): Craig Huffman and Steven M. George

11:40-12:40

Panel Discussion on Atomic Layer Etching
Phoenix East
Chair(s): Craig Huffman

Monday, September 28, 2015

Gerogia Tech have demonstrated the first optical rectenna using CNTs and ALD

Using nanometer-scale components - carbon nanotubes and atomic layer deposition researchers at Gerogia Tech have demonstrated the first optical rectenna, a device that combines the functions of antennas and a rectifier diodes to convert light directly into DC current. This could be a future technology to make very efficient solar cells!


Georgia Tech associate professor Baratunde Cola measures the power produced by converting green laser illumination to electricity using the carbon nanotube optical rectenna. (Phys.org)




Youtube interview of Prof. Cola

Read more at: http://phys.org/news/2015-09-optical-rectennacombined-rectifier-antennaconverts-dc.html#jCp

Origin and History of Electro Luminescent Displays

As many of you know, Dr. Tuomo Suntola and co-workes invented many ALD technologies and processes and further developed Atomic Layer Deposition (ALD), then called Atomic Layer Epitaxy (ALE) to an industrial mass production process during the 70s and 80s. They did this in order to have a reliable manufacturing method with atomic level control of dopants and crystallinity of the individual thin film layers making up the EL device for producing Electro Luminescent Displays with reliable operation. Most ALD experts has com across this story numerous times and that is why it is interesting to read about it from a wider perspective. Here is an excellent article on the origin and history of EL Displays worth reading also including the early 20th century discoveries and work on electroluminescense and other big players like SHARP.

http://www.elwire.net/products/electroluminescent-displays/



For more ALD related information and History of they development that took place in Finland you should also visit the ADL 2014 Kyoto Tutorial by Riikka Puuronen (VTT, Finland) which is available at Slideshare: http://www.slideshare.net/RiikkaPuurunen/aldhistory-tutorial-in-kyoyo-al-dhistory-tutorialald2014riikkapuurunen20140615 (Slide above, Riikka Puurunen, VTT))

If you´re interest in more early history of ALD you should read about or join the VHPA Project - it is quite exciting!

Virtual Project on the History of ALD (VPHA)

VPHA is an open collaborative effort, whose goal is to clarify open questions related to the early history of the Atomic Layer Deposition (ALD) thin film deposition technique. VPHA was launched in July 2013. VPHA is based on voluntary efforts, and anyone interested in the history of ALD is welcome to join. All VPHA activities are made in an atmosphere of openness, respect and trust.

http://www.vph-ald.com

Sunday, September 27, 2015

UPDATE- Photo show from Baltic ALD 2015 September 28-29, Tartu, Estonia

Here pictures in social media and that you send to me (jonas.sundqvist@baldengineering.com) will be publish to cover the scientific and social program of The 13th International Baltic Conference on Atomic Layer Deposition will be held in Tartu, Estonia, at the Institute of Physics of the University of Tartu on September 28–29, 2015.

Twitter Hash Tag : #BalticALD


Following the Baltic ALD 2015 Conference, the annual meeting of the COST project HERALD 
(http://www.european-ald.net) will be held at Dorpat Conference Center, Tartu, on September 30, 2015. Please also take picture at this event!


              


SCIENTIFIC PROGRAM:

http://bald2015.ee/program-baltic-ald-2015/schedule/

 Book of abstracts (Riikka Puurunen, VTT, Twitter)

A bus load of ALD scientists from Helsinki heading for the Helsinki-Tartu flight. Last time (2002) they took the bus all the way to Tartu.

Tartu as viewed from the conference Hotel on Sunday afternoon (Irina Kärkkänen, Sentech)

Conference bag - must be the best looking bag ever in the History of ALD (Riikka Puurunen, VTT, Twitter)

 The Russian ALD bag next to the Estonian ALD Bag below the VPHA Poster (Riikka Puurunen, VTT, Twitter)

 Participant distribution - Finland on top followed by Estonia and Germany (Riikka Puuronen, VTT, Twitter)

 View at the podium (Simon Rushworth, EpiValance, LinkedIn)

Modeling area-selective ALD, talk by Simon Elliott Tyndall (Riikka Puurunen, Twitter)

Timo Vähä-Ojala from Picosun talked about modelling of gas flow in ALD reactor (Tero Pilvi, Picosun)

Riikka Puurunen VTT -  Mechanical property mapping of ALD thin films (Christoph Hossbach, TU-Dresden) 

SOCIAL PROGRAM:

"Colours, colours! Physicum building at Tartu University" (Riikka Puurunen,  VTT, Twitter)

 

Welcome Reception September 27, 2015
Conference Dinner September 28, 2015

"Conference dinner at AHHAA science centre was memorable with the pyro show, good food, music, and company" (Riikka Puurunen, VTT, Twitter)

Guided City Tours September, 29, 2015 

SPONSORING


The conference venue showing the Exhibition area for a number of sponsoring companies: Armgate, Picosun, Oxford Instruments, Beneq, STREM Chemicals, SENTECH Instruments, Semilab, FAB Support.


    

Friday, September 25, 2015

Zinc based transistor demonstrated by Korea University and Samsung



As reported by American Institute of Physics - Researchers set speed records for zinc-based transistors with argon plasma process



Researchers set speed records for zinc-based transistors with argon plasma process 
 


A cross-sectional high angle annular dark field scanning transmission electron microscopy (HAADF-STEM) image and nanobeam diffraction pattern of Ar plasma treated ZnON. Credit: E. Lee & S. Jeon/Samsung Advanced Institute of Technology & Korea University

Researchers at Korea University and the Samsung Advanced Institute of Technology have now developed a new type of thin film transistor that's significantly faster than its predecessors—an important step toward speeding up image display on devices like TVs and smartphone screens. The scientists made the transistor from zinc oxynitride, or ZnON, which they then plasma treated with argon gas.

More information: "Ar plasma treated ZnON transistor for future thin film electronics," by Eunha Lee, Teaho Kim, Anass Benayad, HeeGoo Kim, Sanghun Jeon and Gyeong-Sy Park, Applied Physics Letters on September 22, 2015. DOI: 10.1063/1.4930827

Powder Coating Industries Choose Picosun's ALD Solutions

Picosun Oy, leading supplier of Atomic Layer Deposition (ALD) thin film coating solutions for industrial manufacturing, reports delivery of multiple powder coating ALD systems to key production customers.


Picosun offers powder coating solutions for both industry and R&D. Our POCA™ 300 powder coating cartridge allows processing of large batches of materials and it can be directly integrated into the PICOSUN™ P-300 production-scale reactor frame. For smaller material volumes, our POCA™ 200 powder coating cartridge integrated into a PICOSUN™ R-series tool is a compact, versatile, and cost-efficient solution for top quality powder materials research. Picosun’s novel, innovative PICOVIBE™ feature improves the powder coating process even further by enhancing the distribution of the precursor vapors inside the powder batch, resulting in uniform film formation on every particle.
 
Surface modification of powder materials is a central requirement in various industry segments. Catalysts, novel battery materials, light-emitting phosphors, and medical substances are prime examples where Picosun's ALD technology enables completely new products or creates unparalleled added value to existing ones. Picosun's patented, highly successful POCA™ powder coating product family covers both research and pilot production tools and systems optimized for large scale batch manufacturing, all designed solely based on the requirements of the ALD method. All POCA™ systems can be equipped with Picosun's innovative Picovibe™ mechanism for optimal formation of highest quality ALD film throughout the batch.

"Staying constantly on the top of current and coming industrial trends is one of the cornerstones of Picosun's success. Functionalization of powder materials with ALD is one of our key application areas, and the market is huge. We are happy to see that the investment we have placed in development and optimization of our powder processing solutions now pays itself back in the form of repeat sales of our powder coating tools to our key industry customers, realizing their most advanced products in e.g. lighting device and medical industries," states Juhana Kostamo, Managing Director of Picosun.

Low temperature PEALD of SiN moisture permeation barrier layers from TU Eindhoven

This is really interesting! SiN is the fastest growing ALD film application in leading edge CMOS - for CVD it is I guess the opposite trend ;-) (originally posted by ALDPulse.com)

Low temperature plasma-assisted atomic layer deposition of silicon nitride moisture permeation barrier layers

Anne-Marije Andringa, Alberto Perrotta, Koen de Peuter, Harm C.M. Knoops, Wilhelmus M.M Kessels, and Mariadriana Creatore
ACS Appl. Mater. Interfaces, Just Accepted Manuscript
DOI: 10.1021/acsami.5b06801
Publication Date (Web): September 22, 2015


Encapsulation of organic (opto-)electronic devices, such as organic light-emitting diodes (OLEDs), photovoltaic cells and field-effect transistors, is required to minimize device degradation induced by moisture and oxygen ingress. SiNx moisture permeation barriers have been fabricated using a very recently developed low temperature plasma-assisted atomic layer deposition (ALD), consisting of half-reactions of the substrate with the precursor SiH2(NHtBu)2 and with a N2-fed plasma. The deposited films have been characterized in terms of refractive index and chemical composition by spectroscopic ellipsometry (SE), X-ray photoelectron spectroscopy (XPS) and Fourier-transform infrared spectroscopy (FTIR), respectively. The SiNx thin film refractive index ranges from 1.80 to 1.90 for films deposited at 80 °C up to 200 °C, respectively, and the C, O and H impurity levels decrease when increasing the deposition temperature. The relative open porosity content of the layers has been studied by means of multi-solvent ellipsometric porosimetry (EP), adopting three solvents with different kinetic diameter: water (~ 0.3 nm), ethanol (~ 0.4 nm) and toluene (~ 0.6 nm). Irrespective of deposition temperature and hence impurity content in the SiNx films, no uptake of any adsorptive has been observed, pointing out the absence of open pores larger than 0.3 nm in diameter. Instead, multilayer development has been observed, leading to type II isotherms which, according to the IUPAC classification, are characteristic of non-porous layers. The calcium test has been performed in a climate chamber at 20 °C and 50% relative humidity to determine the intrinsic water vapor transmission rate (WVTR) of SiNx barriers deposited at 120 °C. Intrinsic WVTR values in the range of 10-6 g/m2/day indicate excellent barrier properties for ALD SiNx layers as thin as 10 nm, competing with the state-of-the-art plasma-enhanced chemical vapor deposited SiNx layers of a few hundreds nanometers- thick.

ALD Russia 2015 workshop: a travel report by Riikka Puurunen

The Atomic Layer Deposition Russia 2015 (“ALD Russia”, in short) workshop was organized by Moscow Institute of Physics and Technology (MIPT), in Dolgoprudny, Moscow region, on September 21-23, 2015. The chairman of the workshop was Anatoly Malygin from St. Petersburg Technological Institute; Dr. Andrey Zenkevich and Dr. Andrey Markeev from MIPT were in charge of the local organizing committee. The workshop was opened by the rector of MIPT, corresponding member of RAS, professor Nikolay Kudryavtsev. According to the organizers, 67 people were registered to attend the workshop.

From left: Puurunen, Malygin, Parsons. Photographer: Abdulagatov (Riikka Puurunen, Twitter)

Invited speakers at the workshop were:
Anatoly Malygin (St. Petersburg Technological University, Russia), Steven M. George (University of Colorado at Boulder, USA), Gregory N. Parsons (Carolina State University, USA), Riikka Puurunen (VTT Technical Research Centre of Finland), Annelies Delabie (IMEC, Belgium), Hyungjun Kim (Yonsei University, Korea), Cheol Seong Hwang (Seoul National University, Korea), Robert M. Wallace (University of Texas at Dallas, USA), Andrey Markeev (Moscow Institute of Physics and Technology, Russia), Sabina Spiga (Laboratorio MDM, IMM-CNR, Italy), Andrey Zenkevich/Yury Matveev (Moscow Institute of Physics and Technology, Russia), Vladimir Gritsenko (A. V. Rzhanov Institute of Semiconductor Physics of SB RAS, Russia), Ingo Dirnstorfer (NaMLab, Germany), Vladislav Vasilyev (Novosibirsk State Technical University and SibIS LCC, Russia), Giovanna Scarel (James Madison University, USA), Erwin Kessels (Eindhoven University of Technology, The Netherlands), Sean Barry (Carleton University, Canada), Svetlana Dorovskikh (A. V. Nikolaev Institute of Inorganic Chemistry SB RAS), and Evgeny Gornev (Mikron, Russia).

The scientific programme of the workshop was a balanced mixture of various subject areas, for example, ALD/PEALD precursor and process development, atomic layer etching, 2D materials, semiconductor applications, and thin film conformality analysis. Some truly futuristic talks were included in the programme, e.g. related to neural networks with ALD-grown memristors. There were also two talks that looked backwards in time: one on the historical developments of ALD under the name Molecular Layering in USSR/Russia; and one describing the organization of the on-going Virtual Project on the History of ALD (VPHA), a volunteer-based project where new participants are welcome and needed.

The non-scientific programme at the workshop consisted of a Moscow river cruise on Tuesday evening. The participants were transported with a bus from Dolgoprudny (through the well-known traffic jam of Moscow) to the centre of the city. With the private boat accompanied with a guide, the participants travelled along the Moskva river for about five hours, passing places such as Tretjagovskii Gallery, Kreml and the Red Square, and seeing also the statue of Peter the Great. At the boat, food was excellent and plenty. Many discussions were carried out in a forward-looking, pleasant sphere, and new connections made.


At the end of the workshop, the participants had a chance to visit the laboratories at MIPT.

As specified at the website http://ald-conf.ru/, the goal of the workshop was to “consolidate the rapidly growing Russian ALD community, and to bring Russian researchers closer to leading international experts in the field.” In my view, this target was met: many leading scientists from Russia, several European countries, USA, Canada and Korea got together at the workshop, interacted during presentations, and enjoyed each other’s company at the social event.

With this travel report, I want to thank the organizers at MIPT for creating this unique event. I also express my thanks to Gregory Parsons, who had come up with the idea that this kind of a workshop should be organized, and to Giovanna Scarel, who thereafter advanced this idea with her long-term collaborators at MIPT. While this meeting was not directly related to the ongoing ALD history project VPHA, it is evident that the VPHA has ripened the time for this type of workshop to happen.

This workshop was the first of its kind. The organizers envisioned that there will be continuation in one way or another --- how exactly, is left for the future to show. My hope is that the international ALD community could once meet in St. Petersburg, where ALD research has been carried out already over fifty years.

Espoo, September 25, 2015
Riikka Puurunen
Senior Scientist, VTT Technical Research Centre of Finland
Coordinator of the Virtual Project on the History of ALD (VPHA)

  • The slides of the talk related to Virtual Project on the History of ALD can be found through the VPHA webpage, see http://vph-ald.com/ALD-history-publications.html (direct link here). The slides of the ML-ALD talk should appear at the same VPHA website in the near future. The goal of the organizers was also to later collect and share the slides presented at the workshop through the workshop website http://ald-conf.ru/.
  • In Twitter, the workshop became known with hashtag #ALDRussia.

Monday, September 21, 2015

UPDATE: Photo Show from the International workshop ALD Russia 2015

The now ongoing ALD 2015 in Moscow Russia, 21-23 Septmber 2015, has quite an impressive line up of invited speakers. Here all photos that appear in social media will be collected and you are also welcome to send via e-mail directly to me (jonas.sundqvist@baldengineering.com)

In the meantime many excellent pictures from the organizers have become available here: https://www.flickr.com/photos/miptpix/sets/72157656594919343




ALD Russia 2015 is held in the BioPharmCluster building of MIPT





BioPharmCluster building of MIPT (Dmitry Suyatin, Lund Nano Lab)


From left: Puurunen, Malygin, Parsons. Photographer: Abdulagatov (Riikka Puurunen, Twitter)



Riikka Puurunen and Sean Barry (Twitter)

Scarel and Puurunen in front of the conference venue in Dolgoprudny (Riikka Puurunen, VTT, Twitter)





Moskva river cruise (Dmitry Suyatin, Lund Nano Lab)


Moskva river cruise (Dmitry Suyatin, Lund Nano Lab)


Moskva river cruise (Dmitry Suyatin, Lund Nano Lab)


Moskva river cruise (Dmitry Suyatin, Lund Nano Lab)



Moskva river cruise (Dmitry Suyatin, Lund Nano Lab)


Moskva river cruise (Dmitry Suyatin, Lund Nano Lab)


Moskva river cruise (Dmitry Suyatin, Lund Nano Lab)



Moskva river cruise (Riikka Puurunen, VTT, Twitter)


Moskva river cruise (Sean Barry, Barry Lab, Twitter)


Flower Power car (Dmitry Suyatin, Lund Nano Lab)




Handbook of Silicon Based MEMS Materials and Technologies with ALD Chapter

"A comprehensive, well-proven reference work on state-of-the-art MEMS materials, technologies and manufacturing, emphasizing current and future applications" with an ALD Chapter by Riikka Puurunen and Matti Putkonen.


Handbook of Silicon Based MEMS Materials and Technologies, 2nd Edition
Editor(s) : Lindroos, Motooka, Franssila, Paulasto-Krockel, Tilli & Airaksinen
Expected Release Date:05 Nov 2015
Imprint:William Andrew
Print Book ISBN :9780323299657
eBook ISBN :9780323312233

Friday, September 18, 2015

Woah! Check out this ALD Chamber manufacured by Applied Vacuum Technology for University of Colorado’s

Woah! Check out this ALD Chamber manufacured by Applied Vacuum Technology, LLC for Andrew Cavanaugh at Boulder Colorado in the famous S.M. Georges group. 
 
 
"A special project directed by senior research associate, Andrew Cavanaugh, required a vacuum chamber 9 feet in length, numerous side ports with critical alignment, sufficient rigidity to maintain locational tolerances during process, and precisely aligned rail system for trolley that moved back-and-forth inside the chamber. Applied Vacuum Technology and its parent company, Anderson-Dahlen, collaborated to provide engineering and fabrication support for this custom vacuum chamber which Cavanaugh needed in order to carry out his ALD research"

Full story here:  Custom Vacuum Chamber Used in Atomic Layer Deposition Research at University of Colorado’s S.M. George Research Group




UPDATE: Symposium of The ALD Lab Dresden at SEMICON Europa

Symposium of the ALD-Lab

Workshop on Atomic Layer Processing

Date: 6 October 2015
Time: 09:00 - 15:10
Location: Room Columbus, Messe Dresden


Looking back in the evolution of IC technology, it can be stated that from the 0.25µm node on, the key for further shrinking was planarization. This was enabled by the introduction of an emerging technology, the CMP. Since the 28 nm node it can be observed that, at least in the front end of line, starting with the FinFET and possibly continuing with the surrounding gate transistor, the required structures become more and more three dimensional, while the thickness of the associated films become extremely thin (gate dielectric, work function layer, barrier layer). The emerging technology enabling this is Atomic Layer Deposition (ALD).
ALD is based on self limiting heterogeneous chemical reactions which allow the fabrication of very thin (sub nm to few nm) layers with high accuracy (basically atomic layer precision), extremely well conformality and intrinsically high uniformity even in batch tools. Although the scientific background of ALD goes far back in history, ALD for semiconductor processing can still be considered as a novel technology.
Progress in ALD is associated with tools, but even more with specifically designed precursors which need to be applied at optimum conditions of the gas feed system, the process chamber and the substrate condition. Our workshop, which is organized by the “ALD Lab Dresden” wants to stimulate discussions between developers of tools, consumables, as well as applicants of this exciting technology.
The self limiting behavior of the heterogeneous reaction can however also be used to remove material from a substrate in an extremely controlled fashion of atomic dimensions. This process, that can be viewed as the complement to ALD is called Atomic Layer Etching (ALEt). As for ALD also ALEt can be a game changer for the semiconductor industry utilizing surface functionalization and modification similar to those we know in ALD and resulting in a chemistry-based material removal on the same atomic level as in ALD – A layer by layer removal.
In general scaling is thought about to be a shrink in the critical dimensions (CD, pitch) in the latheral xy-plane, today scaling is also taking place in the z-direction, i.e.,  a reduction in the thickness of the film stacks like the High-k Metal Gate stack. This has resulted in that the thicknesses of the film stacks of devices today are now routinely approaching <20 Å nm providing an opportunity for slow and precise etching by ALEt.
We hope that this new part of the ALD Lab Dresden Symposium will allow for increased scientific and technological discussion for enabling ALEt and learning from ALD and related plasma based processing techniques like Plasma CVD and Reactive Ion Etching.



AGENDA


 Tuesday, 6 October 2015

 09:00WelcomeOrganized by:







  

Prof. Johann W. Bartha, TU Dresden

 09:15In situ monitoring of Atomic Layer Deposition in porous materials

Martin Knaut, TU Dresden

 09:40Passivation of MEMS by Atomic Layer Deposition

Matthias Schwille, Robert Bosch

 10:05Growth Monitoring by XPS and LEIS Investigations of Ultrathin Copper Films Deposited by Atomic Layer Deposition

Dileep Dhakal, TU Chemnitz/FhG ENAS

 10:30High-k dielectrics by ALD for BEOL compatible MIM

Wenke Weinreich, FhG IPMS-CNT


 10:55ALD coatings for applications as permeation barrier and protective layer in fiber-reinforced materials
Mario Krug, FhG IKTS


 11:20ALD for solar cell application
Ingo Dirnstorfer, NaMLab


 11:45Plasma enhanced ALD process for TiO2- and WO3- films

Alexander Strobel, FH Zwickau


 12:10Lunch Break (Conversation, Networking, Finger food)


 13:00Why do we need Atomic Layer Etching

Jonas Sundqvist, Lund University/TU Dresden


 13:25Spatial Atomic Layer Deposition and Atomic Layer Etching

Prof. Fred Roozeboom, / TNO Eindhoven


 13:50Atomic Layer Etching: What Can We Learn from Atomic Layer Deposition?

Harm Knoops, Oxford Instruments/TU Eindhoven


 14:15Hardmask and side wall protection during dry etching with plasma enhanced deposition during dry etching for ALE purposes

Stephan Wege, Plasway


 14:40Industrial High Throughput Atomic Layer Deposition Equipment and Process for OLED Encapsulation

Jacques Kools, Encapsulix


 15:05Closing Remarks / Wrap Up

Prof. Johann W. Bartha, TU Dresden


 15:10End


Registration

No pre-registration required but you must register as a visitor, in order to gain access to the venue:

Thursday, September 17, 2015

The ALD Boom - ALD Market Heats Up

ALD Market Heats Up - You bet! Here is an interesting piece by Mark Lapedus on the ALD Boom and it makes you wonder why Applied Materials has waited so long in taking on this market, which they have done now by the introduction of the Olympia Fast ALD / Spatial ALD platform. Many says that it has to do with certain IP ending in 2016. One missing point here though is that Kokusai is not included in the market share estimation for 2014 since they are a ALD top 5 or even top 3 company.

Number of applications for technology increase, and so do the number of companies vying for a piece of the growing market.

SEPTEMBER 17TH, 2015 - BY: MARK LAPEDUS

Amid the shift to 3D NAND, finFETs and other device architectures, the atomic layer deposition (ALD) market is heating up on several fronts.

Applied Materials, for example, recently moved to shakeup the landscape by rolling out a new, high-throughput ALD tool. Generally, ALD is a process that deposits materials layer-by-layer at the atomic level, enabling thin and conformal films on devices.

Meanwhile, ASM International, Lam Research, Tokyo Electron (TEL), Ultratech and others are also stepping up their efforts in ALD, and for good reason: the applications are rapidly expanding for ALD.

Traditionally, ALD has been used for DRAM capacitor and high-k applications. Now, toolmakers are chasing after some relatively new and sizable ALD markets, namely 3D NAND and multi-patterning for advanced logic. Other emerging ALD markets include fin doping, interconnects,ReRAMs and selective deposition.

“ALD has become more important for chip production,” said Han Jin Lim, an ALD expert and a technical member at Samsung Semiconductor’s R&D Center. “As the structure of a chip becomes more complicated, thinner and more conformal films are required. The quality of the films (must also be) maintained. ALD is the best methodology to achieve them.”

In 2014, ASMI was the leader in the ALD tool market with a 53% share, followed in order by TEL (27%), Jusung (6%), Lam Research (5%), Wonik IPS (5%) and Aixtron (2%), Freeman said.

Full story at Semiconductor engineering : http://semiengineering.com/ald-market-heats-up/

Berkeley Lab can characterize individual defects inside a bulk insulator using STM and graphene

I am curious if this technique could be adopted to be used to study defects in metal oxides like ZrO2, HfO2, SrTiO3 etc. in devices like HKMG transistors, Resistive RAM and Ferroelectric (FRAM, FeFET) memory cells.

As reported by Berkeley Lab : Nanoscale defects are enormously important in shaping the electrical, optical, and mechanical properties of a material. For example, a defect may donate charge or scatter electrons moving from one point to another. However, observing individual defects in bulk insulators, a ubiquitous and essential component to almost all devices, has remained elusive: it’s far easier to image the detailed electrical structure of conductors than insulators.



(a) STM topographic image of a clean graphene/BN area (b) dI/dV map acquired simultaneously with (a) exhibits new features including bright dots, a dark dot and a ring.

Now, Berkeley Lab researchers have demonstrated a new method that can be applied to study individual defects in a widely used bulk insulating material, hexagonal boron nitride (h-BN), by employing scanning tunneling microscopy (STM).

“Normally, STM is used to study conductors and cannot be used to study bulk insulators, since electrical current does not typically flow through an insulator,” explains Mike Crommie, physicist at Berkeley Lab’s Materials Sciences Division and professor at UC Berkeley, in whose lab this work was conducted. His team overcame this obstacle by capping the h-BN with a single sheet of grapheme.