Tuesday, July 21, 2015

Articles on ALD following SEMICON West 2015

Here are some interesting articles on ALD following SEMICON West 2015. I don´t know what you think but it seems to me that ALD really went one step further 2015! I will update as I find more and if you have seen anything interesting please let me know! (jonas.sundqvist@baldengineering.com)

Semicon West: Forget microelectronics it’s not even nano let’s call it atomtronics

The era of atomic level control of electrical properties have already begun, in that sense the so-called Moore’s law will continue but with the new way of doing things. The researchers in semiconductor manufacturing are both engineers and also equally good material scientists who always explored how to find a way around the technology barricades/walls and also design a manufacturing process in such a way that it can be manufactured in volumes at a cost feasible for business success. So it takes a huge amount of scientific as well as engineering talent and also business knowledge of the industry in this field of semiconductor research.

--------------------------------------------------------------------------

Dealing With Atoms


To process chips at the atomic level, there are various solutions in the market today. For years, ALD has been used to scale the capacitor in DRAMs as well as to develop the high-k/metal-gate stack for logic devices. More recently, ALD is also being used to deposit films for the spacers in multiple patterning applications.

In total, the ALD business is expected to reach $920 million to $925 million in 2015, up from $830 million in 2014, according to Applied Materials. Applied Materials, ASMI, Lam, TEL and others compete in the ALD tool market.

ALD is a deposition technique that deposits materials one layer at a time. “ALD is the alternation of two different chemistries being introduced in a sequential manner,” said David Chu, strategic marketing director at Applied Materials. “Because the chemistries are being broken up, it’s self-limiting. That’s why it allows the technology to be conformal.”

-------------------------------------------------------------------------- 

 Growth forecast for Wafer Fab Equipment and ALD according to Gartner

Good news of ALD - Gartner has forecasted a growth for the Advanced nodes to come!
Gartner says that the Worldwide semiconductor revenue is forecast to reach $348 billion in 2015, a 2.2 percent increase from 2014, but down from the previous quarter's forecast of 4.0 percent growth, according to Gartner, Inc.
Growth forecast for Wafer Fab Equipment according to Gartner (Graph from ASMi Investor Technology Seminar at SEMICON West)
 Continue reading : http://baldengineering.blogspot.se/2015/07/growth-forecast-for-wafer-fab-equipment.html

--------------------------------------------------------------------------

Imec introduces self-assembled monomolecular organic films to seal ultra-porous low- k materials

Nano-electronics research center imec announced today at SEMICON West that it has demonstrated concept and feasibility for pore-sealing low-k dielectrics in advanced interconnects. The method, based on the self-assembly of an organic monolayer, paves the way to scaling interconnects beyond N5. 


RC plot and HAADF-STEM images illustrating the effectiveness of SAM sealing in preventing metal indiffusion into the ultra-porous low-k film integrated in a 45nm half pitch dual damascene test vehicle. This translates in a 30% decrease in the measured capacitance. (www.imec.be)
 Continue reading : http://baldengineering.blogspot.se/2015/07/imec-introduces-self-assembled.html

--------------------------------------------------------------------------

UPDATE: ASM International technology briefing SEMICON West 2015

ASM International N.V.  announces that it will be hosting an analyst and investor technology briefing on Wednesday, July 15, 2015 at 8:00 - 9:30 a.m. (PDT) in San Francisco, US, coinciding with SEMICON West 2015. The presentation will be held in Room 301, Esplanade, Moscone Center.
 
ASMi is operating in a very close relationship with leading IDMs and Imec on CMOS scaling. In this technology seminar Han Westendorp, Vice President Corporate Marketing, will present "Advanced wafer processing with new materials". The presentation will include highlights of ASM's 
  • Advanced thermal ALD
  • Plasma enhanced ALD products and technologies
  • CVD, PECVD and epitaxy technologies
Continue reading: http://baldengineering.blogspot.se/2015/07/asm-international-will-be-hosting.html

-------------------------------------------------------------------------- 

Lam Research Releases High-Productivity VECTOR(R) ALD Oxide Deposition System

Lam Research Corp a major global supplier of innovative wafer fabrication equipment and services to the semiconductor industry, today announced it has released its high-productivity VECTOR® ALD Oxide system on the Extreme platform. The new product uses atomic layer deposition (ALD) to create highly conformal dielectric films with an emphasis on advanced patterning, in particular spacer-based multiple patterning. One key challenge is managing thickness variability of the self-aligned spacers that define critical dimensions (CDs). By delivering superior CD control, VECTOR ALD Oxide has been winning volume-production decisions for multi-patterning applications. Now leveraging Lam's Extreme platform, the latest system meets productivity requirements for continued scaling, where additional steps increase process time, cost, and complexity. As a result, VECTOR ALD Oxide is gaining rapid adoption by a number of leading chipmakers for advanced multi-step patterning applications.


"Multiple patterning continues to be a key inflection for the industry, and spacer-based multi-patterning remains an enabling strategy for chipmakers for both current immersion and future EUV lithography schemes," said Sesha Varadarajan, group vice president, Deposition Product Group. "With this in mind, we are working closely with our customers to deliver cost-effective, extendible solutions required for further scaling, such as the high-productivity atomic-scale control from our VECTOR ALD Oxide product."
 
Continue reading: http://baldengineering.blogspot.se/2015/07/lam-research-releases-high-productivity.html

--------------------------------------------------------------------------

Applied Materials announced a next-generation etch tool at SEMICON West

Applied Materials, Inc. announced a next-generation etch tool at SEMICON West, the Applied Centris(TM) Sym3(TM) Etch system, featuring an entirely new chamber for atomic-level precision manufacturing. To overcome within-chip feature variations, the Centris Sym3 system leapfrogs current tools to provide chipmakers with the control and precision needed to pattern and create densely packed 3D structures in advanced memory and logic chips.


Applied Materials Centris Sym3 - an entirely new chamber for atomic-level precision manufacturing 
 

No comments:

Post a Comment