Wednesday, December 17, 2014

Picosun Enables ALD Production on Powders

Picosun Oy Logo





Picosun's large scale POCA™ 300 powder cartridge is designed to fit the industry-standard PICOSUN™ P-300 reactor frame. Its patented construction is based on Picosun's successful R&D scale POCA™ 200 powder coating system with which top quality ALD coatings have been manufactured on several types of powderous carriers. These coatings enable applications such as functionalization of catalysts, solid state batteries, and light-emitting phosphors. The POCA™ 300 system is equipped with Picosun's innovative Picovibe™ feature, ensuring highly uniform and conformal ALD film formation around every single particle in the batch.

"ALD opens up new possibilities for next generation material manufacturing in e.g. energy storage, catalyst, pharmacological, and lighting industries. Our POCA™ 300 large scale powder coating system with the Picovibe™ feature meets the ever-increasing demand for efficient particle ALD processing from several of our production customers in various fields of industry," states Juhana Kostamo, Managing Director of Picosun.

Picosun provides the most advanced ALD thin film technology and enables the industrial leap into the future by novel, cutting-edge coating solutions, with four decades of continuous expertise in the field. Today, PICOSUN™ ALD systems are in daily production use in numerous major industries around the world. Picosun is based in Finland, with subsidiaries in USA, China, and Singapore, and a world-wide sales and support network.

Thursday, December 11, 2014

Atomic Layer Lithography - Creation of nanogaps by ALD

A team led by Sang-Hyun Oh of the University of Minnesota is now saying that it has produced SEIRA (surface-enhanced infrared absorption) enhancements as high as 105 for nanogaps just 3 nm across arranged in a dense array of millimetre-long hotspots.

“In our scheme, we create the nanogaps by depositing thin layers of aluminium oxide on the sidewalls of metal patterns using a well known technique called atomic layer deposition,” Oh tells nanotechweb.org. “We can use this technique to control the thickness of the film, which then defines the gap width on the Angstrom scale. And since thin-film deposition is a fast batch process, we can also make dense arrays of nanogaps over an entire wafer in a quick and easy way.”

The researchers use standard photolithography to pattern gold films on a 4 inch silicon wafer. These patterns are conformally encapsulated with a thin alumina spacer using atomic layer deposition (ALD). Next, a silver film is deposited conformally on the pattern, and the whole structure is stripped off from the silicon substrate using UV cured epoxy and a glass slide. f) Cross-sectional schematic of a buried nanocavity. g) Contact mode AFM line scan across a 5 nm nanogap cavity showing a height difference between the gold and silver films due to the 5 nm thick Al<sub>2</sub>O<sub>3</sub> film. h) Photograph of a 4 inch wafer-containing metal stripes after lift-off. Each square is approximately 1.5 by 1.5 mm. i) SEM image of an array of buried nanogaps on a chip. Further zoomed-in images show a single cavity and a 5 nm nanogap on one side of the cavity. j and k) SEM of buried disks and wedges. Courtesy: <i>Nano Lett.</i>

The researchers use standard photolithography to pattern gold films on a 4 inch silicon wafer. These patterns are conformally encapsulated with a thin alumina spacer using atomic layer deposition (ALD). Next, a silver film is deposited conformally on the pattern, and the whole structure is stripped off from the silicon substrate using UV cured epoxy and a glass slide. f) Cross-sectional schematic of a buried nanocavity. g) Contact mode AFM line scan across a 5 nm nanogap cavity showing a height difference between the gold and silver films due to the 5 nm thick Al2O3 film. h) Photograph of a 4 inch wafer-containing metal stripes after lift-off. Each square is approximately 1.5 by 1.5 mm. i) SEM image of an array of buried nanogaps on a chip. Further zoomed-in images show a single cavity and a 5 nm nanogap on one side of the cavity. j and k) SEM of buried disks and wedges. Pictures from: Nano Lett.

Wednesday, December 10, 2014

Intel shows porous silicon 3.5 mF/cm2 super caps using ALD TiN

As reported by Chip Works Blog: For those interested in energy storage, Intel have fabricated porous silicon capacitors (8.2) that can potentially be integrated on-die or onto solar cells, taking advantage of the extreme conformal deposition capabilities of atomic-layer deposition (ALD). The image below shows a top-down view of the porous silicon before and after ALD TiN deposition; the wall of the pore walls get thicker, but the pore structure doesn’t change. Capacitances of up to 3 milliFarads/cm2 are claimed.

IEDM: http://www.his.com/~iedm/program/program.html
Session 8: Sensors, MEMS, and BioMEMS– NEMS and Energy Harvesters

Monday, December 15, 1:30 p.m.
Imperial Ballroom B
Co-Chairs: Rainer Minixhofer, AMS
Kea-Tiong Tang, National Tsing Hua University
2:00 p.m.
8.2 Integrated On-Chip Energy Storage Using Porous-Silicon Electrochemical Capacitors, D.S. Gardner, C.W. Holzwarth, Y. Liu, S.B. Clendenning, W. Jin, B.K. Moon, C.L. Pint, Z. Chen, E. Hannah, R. Chen, C.P. Wang, C. Chen*, E. Mäkilä**, and J.L. Gustafson, Intel Corp., *Florida Int'l Univ., **University of Turku
Capacitors are favored over batteries for energy harvesting and certain energy storage applications. Electrochemical capacitors based on porous-silicon nano¬structures were synthesized and passivated using either ALD TiN or CVD carbon. Highly stable high density capacitances are achieved and are fabricated using silicon process methods with the potential of on-die integration.



8.2 Fig 5_Gardner

Sunday, December 7, 2014

sprayLD - New technique offers spray-on solar power

Dr. Illan Kramer of The Edward S. Rogers Sr. Department of Electrical & Computer Engineering and IBM has invented a new way to spray solar cells onto flexible surfaces using miniscule light-sensitive materials known as colloidal quantum dots (CQDs)—a major step toward making spray-on solar cells easy and cheap to manufacture.

Thumbnail image of graphical abstract

Kramer, I. J., Minor, J. C., Moreno-Bautista, G., Rollny, L., Kanjanaboos, P., Kopilovic, D., Thon, S. M., Carey, G. H., Chou, K. W., Zhitomirsky, D., Amassian, A. and Sargent, E. H. (2014), Efficient 

Spray-Coated Colloidal Quantum Dot Solar Cells. Adv. Mater.. doi: 10.1002/adma.201403281

A colloidal quantum dot solar cell is fabricated by spray coating under ambient conditions. By developing a room temperature spray coating technique and implementing a fully automated process with near monolayer control—an approach termed as sprayLD—an electronic defect is eliminated resulting in solar cell performance and statistical distribution superior to prior batch-processed methods along with hero performance of 8.1%.

Thursday, December 4, 2014

Altatech introduces new Fast ALD Technology

As reported by Soitec - Altatech : Altatech, a division of Soitec, has introduced the AltaCVD 3D Memory Cell(TM), a new member of its AltaCVD product line designed to deposit ultra-thin semiconductor films that enable the manufacturing of high-density, low-power memory ICs used throughout mobile electronics. The new system performs atomic-layer deposition 10 times faster than conventional ALDsystems, helping to meet global market demands for both high-volume production and cost efficiency in fabricating advanced memories.


"The performance of today's pervasive mobile devices, which many of us now take for granted, would not be possible without atomic-layer deposition technology, such as enabled by our newest CVD solution," said Jean-Luc Delcarri, general manager of Soitec's Altatech Division.

As the global semiconductor industry turns to 3D device architectures to increase memory capacity and boost IC performance for mobile applications, advanced material deposition is needed to create atomic-layer films with high uniformity and stoichiometry control. Altatech's AltaCVD 3D Memory Cell can deposit the needed layers of chalcogenide materials by using a combination of precursors.

In addition to working with conventional gaseous or solid precursors, Altatech's new tool uses patented pulsed technology to take advantage of advanced CVD precursors that are available only in liquid form. This versatility allows the system to achieve exceptional step coverage over features with very high aspect ratios, a key performance requirement in creating the vertical integration that enables high-density memory circuits.

The AltaCVD 3D Memory Cell also can perform advanced pre-treatment of semiconductor surfaces to improve circuit functionality as well as post-treatment of surfaces to enhance memory cells' electrical performance.

Designed to process 200-mm or 300-mm substrates, the AltaCVD 3D Memory Cell uses a single-wafer, multi-chamber architecture to deliver both single-wafer process control and volume-manufacturing capability.

The system is currently demonstrating its unique capabilities and performance at one of Altatech's key customers and production units are available.

Saturday, November 29, 2014

Stopping phosphorene from degrading by Al2O3 ALD coating

Joshua D. Wood, Spencer A. Wells, Deep Jariwala, Kan-Sheng Chen, EunKyung Cho, Vinod K. Sangwan, Xiaolong Liu, Lincoln J. Lauhon, Tobin J. Marks, and Mark C. Hersam

Nano Lett., Article ASAP, DOI: 10.1021/nl5032293, Publication Date (Web): November 7, 2014

Abstract Image

Unencapsulated, exfoliated black phosphorus (BP) flakes are found to chemically degrade upon exposure to ambient conditions. Atomic force microscopy, electrostatic force microscopy, transmission electron microscopy, X-ray photoelectron spectroscopy, and Fourier transform infrared spectroscopy are employed to characterize the structure and chemistry of the degradation process, suggesting that O2 saturated H2O irreversibly reacts with BP to form oxidized phosphorus species. This interpretation is further supported by the observation that BP degradation occurs more rapidly on hydrophobic octadecyltrichlorosilane self-assembled monolayers and on H-Si(111) versus hydrophilic SiO2. For unencapsulated BP field-effect transistors, the ambient degradation causes large increases in threshold voltage after 6 h in ambient, followed by a ∼103 decrease in FET current on/off ratio and mobility after 48 h. Atomic layer deposited AlOx overlayers effectively suppress ambient degradation, allowing encapsulated BP FETs to maintain high on/off ratios of ∼103 and mobilities of ∼100 cm2 V–1s–1 for over 2 weeks in ambient conditions. This work shows that the ambient degradation of BP can be managed effectively when the flakes are sufficiently passivated. In turn, our strategy for enhancing BP environmental stability will accelerate efforts to implement BP in electronic and optoelectronic applications.

Friday, November 28, 2014

VTT demonstrate ALD TiN for porous silicon electrodes integrated supercaps

VTT demonstrated ALD TiN for porous silicon electrodes integrated supercapacitors at the Electronics System-Integration Technology Conference (ESTC), 2014 in Helsinki, Finland (16-18 Sept. 2014)



K. Grigoras, J. Keskinen, J. Ahopelto, M. Prunnila

VTT Technical Research Centre of Finland

We demonstrate high performance porous Si based supercapacitor electrodes that can be utilized in integrated micro supercapacitors. The key enabler here is ultra-thin TiN coating of the porous Si matrix leading to high power and stability. The TiN layer is deposited by atomic layer deposition (ALD), which provides sufficient conformality to reach the bottom of the high aspect ratio pores. Our porous Si supercapacitor devices exhibit almost ideal double layer capacitor characteristic with electrode volumetric capacitance of 7.3 F/cm. Several orders of magnitude increase in power and energy density is obtained comparing to uncoated porous silicon electrodes. Good stability of devices is confirmed performing over 5 000 charge/discharge cycles

Wednesday, November 26, 2014

SoLayTec Sells Spatial ALD Machine To Mission Solar

As reported by SoLayTec : Texas-based Mission Solar Energy has ordered an InPassion atomic layer deposition (ALD) machine from Netherlands-based SoLayTec for its high-efficiency n-type silicon bifacial solar cell line.

 
Mission Solar recently opened a solar panel manufacturing facility at Brooks City-Base in San Antonio. The 240,000 square-foot facility produces n-type solar cells and 72-cell 320 W modules for Korea-based OCI Co. Ltd., which is developing four large-scale projects in the region, including the Alamo projects for CPS Energy.

SoLayTec says the order is its first U.S. sale.
 

 
SoLayTec is a spin-off company from the Dutch research organisation TNO and established in 2010. The company develops, delivers and services machines for atomic layer deposition (ALD) on solar cells worldwide. The SoLayTec ALD machines are intended for industrial production in the solar market. SoLayTec mass production equipment will be exclusively sold together with RENA GmbH on the market. RENA

Monday, November 17, 2014

SENTECH presents Real Time Monitor at ALD China Conference

SENTECH Instruments GmbH of Berlin, Germany, which manufactures equipment for plasma etching and deposition, atomic layer deposition (ALD) and thin-film measurements, has presented its new ALD Real Time Monitor in Asia at the 3rd China ALD Conference in Shanghai (16-17 October). 

For the first time the patented monitor allows the direct monitoring of absorption and desorption processes on the substrate surface during ALD processes within ALD half cycles.
 

Sentech PEALD system - The system can be equipped with several in-situ diagnostics tools e. g. QCM, QMS, ellipsometer. Ultra-fast in-situ ellipsometers are offered for monitoring layer-by-layer film growth applying laser ellipsometry as well as wide range spectroscopic ellipsometry


“Using the ALD Real Time Monitor enables efficient and fast process optimization,” says Dr Gargouri, SENTECH’s specialist for ALD processes, who gave a speech during the conference.
 



 

University of Maryland present all-in-one nanopore battery array using ALD Ruthenium and V2O5

An all-in-one nanopore battery array

Chanyuan Liu, Eleanor I. Gillette, Xinyi Chen, Alexander J. Pearse, Alexander C. Kozen, Marshall A. Schroeder, Keith E. Gregorczyk, Sang Bok Lee & Gary W. Rubloff

Nature Nanotechnology (2014) doi:10.1038/nnano.2014.247 Published online 10 November 2014 
 
 
a, Schematic of parallel nanopore battery array and cross-section of a single-pore battery. b, Upper panels: SEM images of device (top view), showing AAO pores remaining open after Ru, and Ru and V2O5 ALD

A single nanopore structure that embeds all components of an electrochemical storage device could bring about the ultimate miniaturization in energy storage. Self-alignment of electrodes within each nanopore may enable closer and more controlled spacing between electrodes than in state-of-art batteries. Such an ‘all-in-one’ nanopore battery array would also present an alternative to interdigitated electrode structures that employ complex three-dimensional geometries with greater spatial heterogeneity. Here, we report a battery composed of an array of nanobatteries connected in parallel, each composed of an anode, a cathode and a liquid electrolyte confined within the nanopores of anodic aluminium oxide, as an all-in-one nanosize device. Each nanoelectrode includes an outer Ru nanotube current collector and an inner nanotube of V2O5 storage material, forming a symmetric full nanopore storage cell with anode and cathode separated by an electrolyte region. The V2O5 is prelithiated at one end to serve as the anode, with pristine V2O5 at the other end serving as the cathode, forming a battery that is asymmetrically cycled between 0.2 V and 1.8 V. The capacity retention of this full cell (relative to 1 C values) is 95% at 5 C and 46% at 150 C, with a 1,000-cycle life. From a fundamental point of view, our all-in-one nanopore battery array unveils an electrochemical regime in which ion insertion and surface charge mechanisms for energy storage become indistinguishable, and offers a testbed for studying ion transport limits in dense nanostructured electrode arrays.
 
 
Image: University of Maryland



 
Engineers at the University of Maryland have invented a single tiny structure that includes all the components of a battery that they say could bring about the ultimate miniaturization of energy storage.

Ultra-compact capacitors by ALD for the electronics market

Fraunhofer IPMS-CNT and IZM-ASSID presented ultra-thin and integrated capacitors with a high capacity and specially tailored features for industrial applications at electronica, the international trade show for components, systems and applications in the electronic sector in Munich (November 11-14, 2014).
 
 
New High Density capacitors for SiP From Fraunhofer institutes IPMS & IZM ASSID Booth A4.113 (Twitter: https://twitter.com/IZMASSID
 
The division "Center Nanoelectronic Technologies" (CNT) is the Fraunhofer IPMS research and development platform for material and process optimization for the industrial semiconductor production. Together with Fraunhofer IZM-ASSID and ALD Lab Dresden, a competence center for atomic layer deposition, the CNT developed an ultra-compact capacitor for direct integrated circuit packaging. The capacitor’s design and features can be adapted to specific customer requirements and a large range of capacity values can be achieved with the use of high-k materials and special structuring processes.

 
 
Close up of the Ultra Thin High Density capacitors for SiP From Fraunhofer institutes IPMS & IZM ASSID Booth A4.113 (Twitter: https://twitter.com/IZMASSID
 
Customizable high density integrated capacitors.
 
Besides the direct integration (“system in package”), the capacitor is also suited for implementation in high-end printed circuit boards. In addition, the technology is also used in interposers or directly on the chip metallization level. The application fields of these capacitors vary and may include signal filtering in low- and high-frequency applications, for decoupling purposes and as energy storage.
 
 

Sunday, November 16, 2014

Layered tunnel junction by ALD reads single molecules (DNA)

As reported by Nanotechweb.org: Researchers at Arizona State University in the US say that they have made a new molecular reader that might be able to sequence DNA. The device works by capturing molecules in a hole cut into a layered tunnel junction. The work is part of a global effort to develop speedy, low-cost methods to read DNA nucleotides – the building blocks of life.

The fixed-gap layered tunnel junction developed by the ASU team is different in that the tunnel gap is defined by a dielectric, which the researchers grow on the device using a routine and well-known technique called atomic layer deposition (ALD). They then drill a hole through the layers using a process called reactive ion etching so that the tunnel junction can be exposed to molecules in solution.

When the metal electrodes making up the junction are functionalized with so-called recognition molecules that capture DNA nucleotides (thanks to hydrogen bonding), the team is able to identify individual nucleotides by measuring how the tunnel current fluctuates.

Full story here and publication below:

Pei Pang, Brian Alan Ashcroft, Weisi Song, Peiming Zhang, Sovan Biswas, Quan Qing, Jialing Yang, Robert J. Nemanich, Jingwei Bay, Joshua T. Smith, Kathleen Reuter, Venkat S. K. Balagurusamy, Yann Astier, Gustavo Stolovitzky, and Stuart Lindsay

ACS Nano, Article ASAP, DOI: 10.1021/nn505356g, Publication Date (Web): November 7, 2014



Previous measurements of the electronic conductance of DNA nucleotides or amino acids have used tunnel junctions in which the gap is mechanically adjusted, such as scanning tunneling microscopes or mechanically controllable break junctions. Fixed-junction devices have, at best, detected the passage of whole DNA molecules without yielding chemical information. Here, we report on a layered tunnel junction in which the tunnel gap is defined by a dielectric layer, deposited by atomic layer deposition. Reactive ion etching is used to drill a hole through the layers so that the tunnel junction can be exposed to molecules in solution. When the metal electrodes are functionalized with recognition molecules that capture DNA nucleotides via hydrogen bonds, the identities of the individual nucleotides are revealed by characteristic features of the fluctuating tunnel current associated with single-molecule binding events.

Thursday, November 13, 2014

ALD for Light Emitting LED and OLED by Picosun

From AZ Materials: Over the past few years, the wafer-based semiconductor industry has been using the atomic layer deposition (ALD) thin film coating technique to develop a wide range of electronic products and components. For an improved level of system miniaturization and integration, thin films have to be uniform, dense and conformal, as well as free from pinholes, cracks and other defects.

When the preferred film thickness begins to approach nanometer scale, traditional thin film deposition methods such as PVD and CVD do not meet this requirement. In contrast, ALD forms excellent quality films even on the most complex nanoscale geometries. This can be attributed to its surface controlled and self-saturating film growth mechanism.

LED manufacturing is a wafer-based technology – similar to integrated circuit (IC) device manufacturing techniques. ALD is an optimal method that can be incorporated into current LED manufacturing processes and it can provide a wide range of benefits to the industry, either by introducing new manufacturing steps or replacing existing ones to extend the product lifetime, improve the device efficiency, or to save manufacturing costs.



Picosun’s PICOPLATFORM™ 200 vacuum cluster system for wafers up to 200mm diameter.

Currently a number of LED manufacturers across the globe are using Picosun’s ALD technology in their production. For OLEDs, Picosun delivers excellent ALD solutions to protect the devices against moisture

Full story here 

Tuomo Suntolan idea mullisti pinnoitusteknologian

Here is an article in Finnish on the 40 years celebration of the ALE Patent: Tuomo Suntolan idea mullisti pinnoitusteknologian [Tuomo Suntola revolutionized the idea of coating technology]


Dr. Tuomo Suntola

Suomessa kehitetty atomikerroskasvatus eli ALD viettää juhlavuottaan. Menetelmän keksinyt tekniikan tohtori Tuomo Suntola lunasti ensimmäisen ALD-patenttinsa tasan neljäkymmentä vuotta sitten.
[Developed in Finland, atomic layer deposition, or ALD celebrates its anniversary. Method invented the technology, Dr. Tuomo Suntola redeemed the first ALD patents exactly forty years ago. Google translate]


 

Wednesday, November 12, 2014

Colnatec Unveils All-Inclusive Thin Film Controller

Colnatec is expanding its portfolio of high-precision, thin film measurement and control devices, Colnatec today announced the debut of a compact controller that unites the leading-edge technology of its Eon™ series of PC-based controllers with the modular efficiency of rackmount instrumentation.


Thin Film Controller with Integrated Display
Adaptable. Affordable. Unconventional.


With its integrated display, intuitive user interface, and durable architecture, Eon-ID™ offers a versatile design that adapts easily to a variety of settings - ranging from industrial to laboratory to clean room to research environments - matching or surpassing the capabilities of Inficon™ XTC/3™ and IC6™.

“We’ve identified a growing demand for a stand-alone thin film control solution that incorporates hardware, display, and software into a single enclosure,” said Colnatec CTO Scott Grimshaw. “In answering this demand, Eon-ID™ has exceeded our expectations. Making thin film control more accessible through affordability and efficiency of design, Eon-ID™ has the potential of not only broadening thin film science in general but driving thin film manufacturing opportunities into completely new and unexpected areas of industry.”

Among its numerous features, Eon-ID™ offers the latest Eon Software™ interface, an integrated display allowing for a greater variety of settings and applications, rackmount capability, a temperature compensation system that maintains crystal to within +/- 1°C of preset temperatures, advanced technology that increases reliability and durability in industrial environments.

"Eon-ID™ employs the same temperature-centric technology used in our Eon™ and Eon-LT™ series controllers," noted Colnatec CEO Wendy Jameson. "Eon-ID™ will specifically benefit industries using atomic layer deposition (ALD), optics, OLED, and any other process that requires precision control over very thin layers, especially at temperatures higher than 100°C. Combining precision, simplicity, and cost effectiveness, Eon-ID™ represents nothing less than the state-of-the-art in thin film science."

About Colnatec

Taking a revolutionary approach to thin film design, development, and manufacturing, Colnatec (colnatec.com) manufactures the only commercially available heated quartz crystal microbalances (QCM) for process control of film thickness measurement in high temperature processes such as atomic layer deposition (ALD) or chemical vapor deposition (CVD). Through the use of patented and patent-pending Colnatec technology, researchers, manufacturers, and system-builders have reduced production and run times and costs to improve overall performance - ultimately achieving higher yields and improved process control. Colnatec technology is also frequently used in, encapsulation and high flux deposition of organic light emitting diodes (OLED), optical coatings such as for anti-reflection (PVD), next generation food packaging, and medical device coating, etc. Launched in 2009, Colnatec is the recipient of Department of Energy Phase I and Phase II SBIR awards for high temperature sensors, and one of eight winners of the inaugural Arizona Commerce Authority Innovation Challenge Grant. Colnatec has built a reputation as a bold innovator and a formidable player in a tough, highly competitive marketplace.

Sunday, November 9, 2014

Polymer hybrid thin-film composites for food packaging and membrane filters

As reported by VTT: Juha Nikkola M.Sc.(Tech.), Senior Scientist at VTT Technical Research Centre of Finland, developed new hybrid materials in his doctoral research project for use in the manufacture and modification of thin-film composites. The project resulted in new materials suitable for instance for food packaging with enhanced diffusion barrier and for membrane filters with improved anti-fouling properties used in water purification. In the future, similar materials may find use in flexible OLED displays and in wall and ceiling panels.

The doctoral research project involved developing surface materials that decrease bacterial adhesion to the surface. Improved surface materials can help keep membrane filters or wall surfaces clean or improve the preservation of food.

Food spoilage can be delayed with a new type of cardboard package coated with a plastic incorporating the thin-film composite structure developed in the present project. Such diffusion barrier materials may also find use in flexible OLED displays in the electronics industry or in replacing thickly layered paint on wall and ceiling panels.

The doctoral research project involved studying and developing flexible thin-film composites using various coating techniques and studying the impact of the surface layer on the permeability and anti-fouling properties of the thin-film composite. The hybrid materials developed can be produced in roll-to-roll processing. The research focused on atmospheric plasma deposition, atomic layer deposition (ALD) and sol-gel deposition techniques.


Thin-film composites can be manufactured using a roll-to-roll process. The photo shows the VTT coating production line.

A hybrid material is simply a combination of two materials at the macro, micro or nano level. Hybrid material is typically a blend, multilayer or nanostructured material. For instance, multilayer structures manufactured using thin-film deposition techniques can be named as hybrid materials.

Thin-film composites usually consist of three layers with different functions. The support and core layers provide the mechanical properties such as strength and flexibility. The core layer may also have properties affecting the chemical durability, permeability or composition of the composite. The properties required of the skin layer may have to do with separation efficiency, diffusion barrier performance, roughness, surface energy or liquid or gas permeability.

Juha Nikkola will defend his doctoral dissertation at the Tampere University of Technology on 31 October 2014 at 12.00.

The thesis is available online at Polymer hybrid thin-film composites with tailored permeability and anti-fouling performance: http://www.vtt.fi/inf/pdf/science/2014/S66.pdf


Conformal organohalide perovskite laser by realized ALD


As reported by Nanotechweb : Researchers at the University of Toronto in Canada say that they have made the first perovskite-based spherical resonator laser by coating organometallic halide perovskites uniformly onto glass microspheres. The device might be employed in novel optical communications applications, and the new structure also shows promise for solar cells and photodetectors.

Obviously it has been done by ALD!

The Toronto team, led by Edward Sargent, employed an industry-standard technique, known as atomic layer deposition (ALD), to grow high-quality one-atom seed layers of lead sulphide and then developed a way to “exchange” these PbS layers with perovskite by exposing them to iodine gas vapour and methylammonium iodide. The resulting structure – a highly pure, polycrystalline film of CH3NH3PbI3 perovskite – can then be coated onto a spherical optical cavity made from a glass microsphere (see figure). This optical cavity lases when pumped with green, red or blue light.

Check out the full story here and the paper below.

Brandon R. Sutherland , Sjoerd Hoogland, Michael M. Adachi, Chris T. O. Wong, and Edward H. Sargent
ACS Nano, 2014, 8 (10), pp 10947–10952



Conformal integration of semiconductor gain media is broadly important in on-chip optical communication technology. Here we deploy atomic layer deposition to create conformally deposited organohalide perovskites—an attractive semiconducting gain medium—with the goal of achieving coherent light emission on spherical optical cavities. We demonstrate the high quality of perovskite gain media fabricated with this method, achieving optical gain in the nanosecond pulse regime with a threshold for amplified spontaneous emission of 65 ± 8 μJ cm–2. Through variable stripe length measurements, we report a net modal gain of 125 ± 22 cm–1 and a gain bandwidth of 50 ± 14 meV. Leveraging the high quality of the gain medium, we conformally coat silica microspheres with perovskite to form whispering gallery mode optical cavities and achieve lasing

Saturday, November 8, 2014

NCSU show conductive Kevlar by Tungsten ALD coating

As reported by Laboratory Equipment: A group of North Carolina State Univ. researchers is exploring novel ways to apply semiconductor industry processes to unique substrates, such as textiles and fabrics, to "weave together" multifunctional materials with distinct capabilities.

During the AVS 61st International Symposium & Exhibition, being held November 9-14, 2014, in Baltimore, Maryland, the researchers will describe how they were able to weave high-strength, highly conductive yarns made of tungsten metal on Kevlar — body armor material — by using atomic layer deposition (ALD), a process commonly used for producing memory and logic devices.



Tungsten-coated Kevlar with a Kevlar (uncoated) background. Image: S. Atanasov, NCSU

The group's tungsten-on-Kevlar yarns are expected to find applications in multifunctional protective electronics materials for electromagnetic shielding and communications, as well as erosion-resistant antistatic fabrics for space and automated technologies






Stabilization of ALD barrier film by MLD interlayers by TU Dresden


Christoph Hossbach, Frederik Nehm, Aarti Singh, Hannes Klumbies, Dustin Fischer, Claudia Richter, Uwe Schroeder, Matthias Albert, Lars Müller-Meskamp, Karl Leo, Thomas Mikolajick and Johann W. Bartha

J. Vac. Sci. Technol. A 33, 01A119 (2015); http://dx.doi.org/10.1116/1.4901232

Diffusion barrier stacks for the encapsulation of organic electronics made from inorganic nanolaminates of Al 2O3 and TiO2 with aluminum alkoxide interlayers have been deposited byatomic layer deposition (ALD) and molecular layer deposition (MLD). As a part of the MLD process development, the deposition of aluminum alkoxide with low a density of about 1.7 g/cm3was verified. The ALD/MLD diffusion barrier stack is meant to be deposited either on a polymer film, creating a flexible barrier substrate, or on top of a device on glass, creating a thin-filmencapsulation. In order to measure the water vapor transmission rate (WVTR) through the barrier, the device is replaced by a calcium layer acting as a water sensor in an electricalcalcium test. For the barrier stack applied as thin-film encapsulation on glass substrates, high resolution scanning electron microscopy investigations indicate that the inorganic nanolaminates without MLD interlayers are brittle as they crack easily upon the stress induced by the corroding calcium below. The introduction of up to three MLD interlayers of 12 nm each into the 48 nm barrier film laminate successfully mitigates stress issues and prevents the barrier from cracking. Using the three MLD interlayer configurations on glass, WVTRs of as low as 10−5g/m2/d are measured at 38 °C and 32% relative humidity. On polymer barrier substrates, thecalcium is evaporated onto the barrier stack and encapsulated with a cavity glass. In this configuration, the corroding calcium has space for expansion and gas release without affecting the underlying barrier film. In consequence, a WVTR of about 3 × 10−3 g/m2/d is measured for all samples independently of the number of MLD interlayers. In conclusion, a stabilization and preservation of the ALD barrier film against mechanical stress is achieved by the introduction of MLD interlayers into the inorganic nanolaminate.




Schematic drawing of a Ca test built on an ALD barrier coated foil substrate (barrier film test configuration)




Top view of glass Ca tests coated with ALD/MLD barrier stacks consisting of a 48 nm Al-O/Ti-O nanolaminate with zero to three aluminum alkoxide interlayers of 12 nm thickness. The layers were deposited at 90 °C on Ca tests on glass and aged at 38 °C and 32% r.h.—picture taken with high resolution scanning electron microscopy after aging.


Wednesday, November 5, 2014

ALD of L-Alanine Polypeptide by Sandia, University of New Mexico and Angstrom Thin Film Tech

 
Yaqin Fu, Binsong Li, Ying-Bing Jiang, Darren R. Dunphy, Andy Tsai, Siu-Yue Tam, Hongyou Fan, Hongxia Zhang, David Rogers, Susan Rempe, Plamen Atanassov, Joseph L. Cecchi, and C. Jeffrey Brinker
J. Am. Chem. Soc., Article ASAP, DOI: 10.1021/ja5043403, Publication Date (Web): October 30, 2014




l-Alanine polypeptide thin films were synthesized via atomic layer deposition (ALD). Instead of using an amino acid monomer as the precursor, an l-alanine amino acid derivatized with a protecting group was used to prevent self-polymerization, increase the vapor pressure, and allow linear cycle-by-cycle growth emblematic of ALD. The successful deposition of a conformal polypeptide film has been confirmed by FTIR, TEM, and Mass Spectrometry, and the ALD process has been extended to polyvaline.

Call for Abstracts - ALD 2015: June 28-July 1, Portland, Oregon

Call for Abstracts - ALD 2015: June 28-July 1, Portland, Oregon
 
Abstract Deadline: February 16, 2015
The conference will cover a wide range of topics including the following. Prospective authors are invited to Submit Online
 
ALD FUNDAMENTALS:

Precursors and Chemistry
  • Precursor Design, New Precursors, Recipe Development
  • Simulation, Modeling, and Theory of ALD
  • Precursor Delivery Systems
Growth and Characterization
  • In-situ Monitoring and Analysis
  • ALD Surface Chemistry and Initiation of Growth
  • Surface Preparation for ALD
  • Characterization of ALD Coatings
  • Highly Conformal ALD Processes
  • Plasma Enhanced ALD Processes
  • Electrochemical (EC) ALD Processes
Novel Materials
  • Molecular Layer Deposition
  • Organic-Inorganic Hybrid Materials
  • Atomic Layer Epitaxy and Doping
  • Magnetic Materials
  • ALD Coating of Powder
NANOSTRUCTURE SYNTHESIS AND FABRICATION:
  • Selective ALD Growth, Patterning
  • Nanotubes, Nanowires, Nanopores
  • Nanoparticles
  • Nanolaminates 2D Nanomaterials (Including Transition Metal Dichalcogenides)
ALD APPLICATIONS:
  • Energy
  • Catalysis and Fuel Cells
  • Solar Energy Materials
  • Batteries and Energy Storage
Applications in ULSI FEOL and BEOL
  • High-k Applications
  • Gate Electrode
  • Contact Metal
  • 3D Transistor Fabrication
  • Interconnects
  • Cu Diffusion Barriers
  • Cu Capping Technologies
  • Low-k Pore Sealing
  • Low-k Spacer
Memory Applications
  • DRAM
  • Flash Memory
  • MRAM
  • RRAM
  • Other Non-volatile Memories
ALD FOR MANUFACTURING
  • Reactor and Equipment Design for Manufacturing
  • ALD Reactor Modeling
  • Large Format ALD
  • Spatially Controlled ALD
  • Sensing and Process Control
  • Fast ALD
  • R2R

Thursday, October 30, 2014

Toyota funds ALD technology research for battery materials at Aalto University, Finland

"Toyota enthusiastic over Aalto’s materials research" Professor Maarit Karppinen’s research group is developing better battery materials by means of atomic layer deposition.
 

The car-manufacturing giant found Aalto University and Maarit Karppinen’s research group on the basis of a recommendation.‘They bought the reactor needed for atomic layer deposition from Picosun, a Finnish company that told them we would have the research expertise they needed,’ explains doctoral researcher Mikko Nisula, who works in Professor Karppinen’s group.

‘It’s great that an international car-manufacturing giant is capable in practice of utilizing the long-term basic research with ALD technology we’ve been doing. The cooperation has advanced quite smoothly,’ Professor Karppinen says.
 


 

More information:
Doctoral candidate Mikko Nisula, Aalto University School of Chemical Technology, Department of Chemistry
mikko.nisula@aalto.fi

Professor Maarit Karppinen, Aalto University School of Chemical Technology, Department of Chemistry
maarit.karppinen@aalto.fi

Wednesday, October 29, 2014

Ferroelectricity in Si-doped HfO2 Revealed: A Binary Lead-free Ferroelectricby ALD

Scientists at Namlab gGmbH, Leibniz Institute for Solid State and Materials Research and Fraunhofer IPMS-CNT Dresden, Germany together with Center for Nanophase Materials Sciences, Oak Ridge National Laboratory, TN, USA provides conclusive evidence to intrinsic ferroic behavior in Si-doped HfO2.

 Dominik Martin, Johannes Müller, Tony Schenk, Tomas M. Arruda, Amit Kumar, Evgheni Strelcov, Ekaterina Yurchuk, Stefan Müller, Darius Pohl, Uwe Schröder, Sergei V. Kalinin, and Thomas Mikolajick

Advanced Materials Article first published online: 28 OCT 2014

Static domain structures and polarization dynamics of silicon doped HfO2 are explored. The evolution of ferroelectricity as a function of Si-doping level driving the transition from paraelectricity via ferroelectricity to antiferroelectricity is investigated. Ferroelectric and antiferroelectric properties can be observed locally on the pristine, poled and electroded surfaces, providing conclusive evidence to intrinsic ferroic behavior.


One ALD layer can increase the efficiency of photoelectrodes for water splitting

Here is a new paper from Massimo Tallarida and co-workers group in Cottbus at Brandenburg University of Technology in collaboration with Helsinki, Tartu and Alicante. The published paper below in Journal of Physical Chemistry Letters, gives for the first time a reasonable explanation of why 1 ALD layer can increase the efficiency of photoelectrodes for water splitting, just using the chemistry of ALD (in particular, only TMA).


Modification of Hematite Electronic Properties with Trimethyl Aluminum to Enhance the Efficiency of Photoelectrodes

Massimo Tallarida, Chittaranjan Das, Dejan Cibrev, Kaupo Kukli, Aile Tamm, Mikko Ritala, Teresa Lana-Villarreal, Roberto Gómez, Markku Leskelä, and Dieter Schmeisser

J. Phys. Chem. Lett., 2014, 5 (20), pp 3582–3587
 
 
The electronic properties of hematite were investigated by means of synchrotron radiation photoemission (SR-PES) and X-ray absorption spectroscopy (XAS). Hematite samples were exposed to trimethyl aluminum (TMA) pulses, a widely used Al-precursor for the atomic layer deposition (ALD) of Al2O3. SR-PES and XAS showed that the electronic properties of hematite were modified by the interaction with TMA. In particular, the hybridization of O 2p states with Fe 3d and Fe 4s4p changed upon TMA pulses due to electron inclusion as polarons. The change of hybridization correlates with an enhancement of the photocurrent density due to water oxidation for the hematite electrodes. Such an enhancement has been associated with an improvement in charge carrier transport. Our findings open new perspectives for the understanding and utilization of electrode modifications by very thin ALD films and show that the interactions between metal precursors and substrates seem to be important factors in defining their electronic and photoelectrocatalytic properties.
 
 
The building Panta Rhei, home for the Chair of Applied Physics and Sensors (Prof. Dr. Dieter Schmeißer) at Brandenburg Universitxy of Technology. The main research area of the department is spectroscopic and micro spectroscopic investigation of layers and layer structures in order to get information about the electronic properties and the geometrical structures of several materials, such as high-k oxides, metal and mixed oxides, inter metallic interfaces, semiconductors, conducting and semiconducting polymers, and with recent focus graphene. In addition, the department is very active in the research area of atomic layer deposition (ALD). In particular the initial layer growth is in the focus of interest. The layer deposition as well as the characterization are done in situ = "(in situ)2", where the characterization can be performed "cycle by cycle". (further information)

The authors conclude that the ALD of Al2O3 based on TMA produces modifications in the electronic properties of α-Fe2O3 favoring the improvement of its photoelectrochemical behavior. Reactions between TMA and α-Fe2O3 induce electron donation to the substrate in the form of small polarons and modify the covalent character of the Fe−O bonds. These Fe2O3 surface modifications probably allow for an enhanced charge carrier transport next to the interface and explain the photoelectrochemical enhancement observed in hematite photoanodes. We believe that this work contributes to the understanding of some of the mechanisms underlying the enhancement of hematite photoanodes by means of surface modification and that it may open new avenues for further improving their performance in the context of water splitting.

 

A vision of a sustainable hydrogen fuel community based on Artificial photosynthesis (APS) has been described in man yplaces and in particular in a relatively recent review in Nature Photonics (here).




 

Vision of a sustainable hydrogen fuel community based on Artificial photosynthesis (APS) - Hydrogen is produced from an APS solar water-splitting power plant using seawater on floating ports, tankers and seashore plants. Electricity needed to operate such an infrastructure is provided by renewable energy sources such as photovoltaic, wind and tidal power. (Nature Photonics, 6 (2012) 511)

 

Friday, October 17, 2014

A Short History of Atomic Layer Deposition: Tuomo Suntola's Atomic Layer Epitaxy

 
Chem. Vap. Dep. Article first published online: 15 OCT 2014
DOI: 10.1002/cvde.201402012

Atomic layer deposition (ALD) is a thin film growth technique based on the repeated use of separate, saturating gas-solid reactions. The principle of ALD has been discovered twice; in the 1960s under the name “molecular layering” in the Soviet Union, and in the 1970s under the name “atomic layer epitaxy” (ALE) in Finland. In 2014, it is forty years since the filing of the worldwide patent on ALE as a method for the growth of compound thin films. This essay celebrates the fortieth anniversary of ALE-ALD, briefly telling the story of ALE as shared by its Finnish inventor, Dr. Tuomo Suntola. Initially, ALE was aimed at the growth of high-quality polycrystalline ZnS thin films for electroluminescent (EL) display panels. Gradually, the material selection of ALE increased, and the application areas were extended to photovoltaics, catalysis, semiconductor devices, and beyond. Fast, production-worthy ALE reactors were imperative for industrial success. The unprejudiced creation of new technologies and products with ALE, initiated by Dr. Tuomo Suntola and led by him until early 1998, are an integral part of the Finnish industrial history, the fruits of which are seen today in numerous applications worldwide.
 

Sven Lindfors in 1978 next to the flow-type ALD reactor in which the successful H2S/ZnCl2 process was demonstrated.

 

* The author thanks Tuomo Suntola for sharing these and other details of the development of ALE and EL. It has been a great honor and privilege to work with him and to write this history. Writing this history was triggered by the parallel-running worldwide Virtual Project on the History of ALD (VPHA). Warmest thanks to Tuomo Suntola for his support for the VPHA, too. The author also acknowledges Tapio Alvesalo for checking the details related to NAPS, Dr. Marko Tuominen for the details related to ASM Microchemistry, Juhana Kostamo for the details related to Picosun, Prof. Victor Drozd for confirming the timing of Suntola's visit to Leningrad, Prof. Yukihiro Shimogaki and Prof. Markku Leskelä for identifying the second-left participant in the ALE-1 photograph, and Prof. David Cameron for polishing the language in this article. Funding by the Academy of Finland's Centre of Excellence in Atomic Layer Deposition (ALDCoE) is gratefully acknowledged.

Thursday, October 16, 2014

3 min pitch - Protective coatings for silver cultural heritage objects using ALD

Novel protective coatings for silver alloy cultural heritage objects using atomic layer deposited metal oxide barrier films. This is one of the video pitches from the 17 PhD students from around the world who have made it to the final of a competition that challenges them to present their research in only three minutes.





2014 U21 3MT® Finalist - Amy Elizabeth Marquardt. Amy is a finalist in the U21 Three Minute Thesis (3MT) Competition. If you like her presentation, please vote for it here: http://www.u213mt.com/index.php. Voting ends October 20th.

Tuesday, October 14, 2014

Levitech 5 Year Anniversary - ALD4INDUSTRY Workshop

Levitech will celebrate its 5th Anniversary on Thursday October  30th, 2014 with a Workshop Program and an Anniversary Program  at Levitech in Almere. "For this day Levitech invited several national and international  speakers from the field of Atomic Layer Deposition. The topics of  these workshops are ‘ALD4IC’, ‘ALD4PV’ and ‘Innovation4PV’.  During the lunch buffet and dinner you have the opportunity to  network. Please join us on this day."
 





PROGRAM ALD4INDUSTRY
Thursday October 30, 2014 Levitech BV, Almere, The Netherlands

09.30 Welcome Workshop Program
09.55 Opening by Jaap Beijersbergen

Presentations ALD4IC

10.00 Suvi Haukka (ASM)
10.45 Sven van Elshocht (IMEC)

Presentation Innovation4Industry

11.15 Markus Fischer (Hanwha Q-Cells)
12.15 Lunch buffet

Presentations ALD4PV

13.15 Erwin Kessels (TUE)
14.00 Ilkay Cesar (ECN)
14.30 Willem Jan Huisman (ASM)
15.00 Ernst Granneman (Levitech)

15.30 Welcome Anniversary Program

Presentations

16.00 Wim Sinke (ECN)
16.45 Jan Nico Appelman (Flevoland)
17.00 Levitech 5 Years Anniversary
17.30 Dinner

 
 

Saturday, October 11, 2014

Nanjing Tech University demonstartes paper-based membranes for oil/water separation by ALD

Nanjing Tech University demonstartes low-cost but highly efficient paper-based membranes for oil/water separation through hydrophobic modification to filter papers by ALD.
 
Liang Kong, Qianqian Wang, Sen Xiong, and Yong Wang
Ind. Eng. Chem. Res., September 30, 2014


It remains a great challenge for the simple and affordable production of membranes for oil/water separation. We prepare low-cost but highly efficient paper-based membranes for oil/water separation through hydrophobic modification to filter papers. The simple modification contains only two steps: a thin layer of aluminum oxide is first coated on the surface of the filter paper by atomic layer deposition, and silane molecules are subsequently coupled on the precoated aluminum oxide layer via their reaction with hydroxyl groups on the surface. Both the alumina layer and the silanization layer are very thin with a total thickness less than 10 nm. The modified filter paper is endowed with strong hydrophobicity and oleophilicity, therefore exhibits strongly retarded permeation to water and enhanced permeation to nonpolar oils. The modified filter paper is demonstrated to show excellent separation efficiencies greater than 90% in the separation of various types of oils and organic solvents from their mixtures with water. The paper-based membranes prepared in this work are distinguished among others for their low-cost substrates and simple modification route. This modification method is expected to be easily extended to hydrophobize a diversity of other substrates.

Wednesday, October 8, 2014

Voilà - 3rd ALD Lab Dresden Symposium at SEMICON Europa was a success!

For the 3rd time ALD Lab Dresden organised The ALD Lab Dresden Symposium at SEMICON Europa - this time in Grenoble, France. Our workshop, wants to stimulate discussions between developers of tools, consumables, as well as applicants of this exciting technology. Here are some pictures from the event.
 
 


Prof. Johan W. Bartha, Institut für Halbleiter- und Mikrosystemtechnik, TU Dresden, Germany introduces ALD Lab Dresden to the audiance and opens the Symposium with a talk on “Fundamental insight into ALD processing by in-situ observation”
 

Malte Czernohorsky, Fraunhofer IPMS-CNT, Dresden, Germany presenting results from the EU PICS Project "Development of innovative ALD materials and tools for high density 3D integrated capacitors"
 
 
Mickael Gros-Jean, ST Microelectronics, Grenoble, France giving a talk on "High permittivity dielectrics for CMOS FDSOI Gate first technologiess"
 
 
Stefan E. Schulz, Fraunhofer ENAS, Chenitz, Germany getting ready to give his talk on "ALD of Metals and Metal Oxides for Advanced Interconnect and Sensor Technology: In-Situ Investigations for the ALD of Copper"



Beneq expands local US service capabilities through Maxima Sciences

Beneq Thin Film Equipment has entered an agreement with Maxima Sciences of Cincinnati, Ohio, to offer maintenance and service closer to its customers located throughout North America. This collaboration will enable faster response times and local support for Beneq’s atomic layer deposition (ALD) customers.
 

Now, in addition to an existing service hub at the main office in Finland that supports European customers, and a service hub in Shanghai, China, serving customers throughout Asia, this agreement with Maxima Sciences fills the gap in service provision for the North American region. The service network expansion will enable Beneq to provide better and faster local support for more of its ALD customers when it comes to questions they may have with their processes or equipment.

Beneq’s services include spare parts, process and/or equipment upgrades, along with regular and periodic maintenance calls and audits. Additionally, Beneq’s coating service and application development capabilities can now be provided locally through Maxima Sciences.

Maxima Sciences is a custom scientific instrument design and fabrication specialist. Like Beneq, the company has ALD as one of its primary areas of expertise. Among the other services offered by Maxima Sciences are instrument design, precision machining, vacuum chambers and components, electronics, glass blowing and repairs.

“We’re excited about working closely with Beneq and taking advantage of the company’s strong ALD technology know-how. Both companies have considerable experience with ALD. With this agreement, we’re looking forward to teaming up with the world leader in this field,” says Dr Jacob Bertrand, President, Maxima Sciences.

The principal point of contact for service calls at Beneq is service(at)beneq.com. See our homepage for more information.

Sunday, October 5, 2014

Scandinavian Nanotechnology Market Reports from AZO Nano

AZO Nano has published Market Reposrts on Scandinavian Nanotechnology (Norway is missing) The reports are available online and include the following :

  • A brief introduction to the key nanotechnology-related organizations
  • The major nanotechnology-related companies are listed below along with a brief introduction to each of them.
  • The leading academic institutes in that are offering courses and research programs in nanoscience and nanotechnology are listed

Direct links to the reports:

Nanotechnology in Sweden: Market Report
Nanotechnology in Finland: Market Report
Nanotechnology in Denmark: Market Report